电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>今日头条>阿尔法:搬砖套利之六年币圈经验大咖手把手教您如何躺赚

阿尔法:搬砖套利之六年币圈经验大咖手把手教您如何躺赚

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

无刷电机无感FOC控制培训系列课程

| 本工作室推出电机控制无感foc电机控制系列培训课程本课程主要让想进阶的算法工程师,和刚参加工作的工程师或者在校学生能够进一步提高自己的技能,1.从企业用人角度手把手教你做电机控制,提高你的个人
2024-03-10 13:52:40

UVM手把手教程系列(二)Phase机制简单介绍

UVM中的phase,按照其是否消耗仿真时间($time打印出的时间)的特性,可以分成两大类
2024-02-29 09:26:11355

【先楫HPM5361EVK开发板试用体验】(原创)6.手把手实战红外线传感器源代码

楫HPM5361EVK开发板试用体验】(原创)5.手把手实战AI机械臂 我在本论坛内的试读经验 : 《电子工程师必备——九大系统电路识图宝典》+附录2化整为零和集零为整电路分析方法 《运算放大器参数
2024-02-09 15:08:26

【先楫HPM5361EVK开发板试用体验】(原创)5.手把手实战AI机械臂

试用体验】4手把手实战EXIP在线解密引擎 我在本论坛内的试读经验 : 《电子工程师必备——九大系统电路识图宝典》+附录2化整为零和集零为整电路分析方法 《运算放大器参数解析与LTspice应用仿真
2024-02-06 10:28:43

【国产FPGA+OMAPL138开发板体验】(原创)3.手把手玩转ARM与FPGA通信

)2.手把手玩转游戏机械臂 我在本论坛内的试读经验 : 《电子工程师必备——九大系统电路识图宝典》+附录2化整为零和集零为整电路分析方法 《运算放大器参数解析与LTspice应用仿真》+学习心得4第三章
2024-02-03 20:48:22

【飞腾派4G版免费试用】4.手把手玩转QT界面设计

试用】2.手把手实战编译Linux内核 【飞腾派4G版免费试用】3.手把手玩转制作rootfs根文件系统 我在本论坛内的试读经验 : 《电子工程师必备——九大系统电路识图宝典》+附录2化整为零和集零为整
2024-01-27 12:49:23

【先楫HPM5361EVK开发板试用体验】4手把手实战EXIP在线解密引擎

接上三篇: 【先楫HPM5361EVK开发板试用体验】1上手HPM5361 - 先楫半导体HPMicro 【先楫HPM5361EVK开发板试用体验】2手把手实战密钥管理器 KEYM 【先楫
2024-01-26 11:08:30

【年度精选】2023度top5榜单——电机控制经验

手把手教你MATLAB,Sumlink代码生成。无感FOC算法从零到生成代码的过程。附上MATLAB代码生成仿真模型。 作者:老杰 阅读量:3278 推荐理由: 本教程通过手把手的方式教你
2024-01-12 10:09:27

【飞腾派4G版免费试用】3.手把手玩转制作rootfs根文件系统

接上两篇:【飞腾派4G版免费试用】1.实战交叉编译环境搭建和手把手uboot编译 【飞腾派4G版免费试用】2.手把手实战编译Linux内核 嗨,亲爱的工程师、学生和爱好者们,我来啦!今天我要带
2024-01-09 10:49:37

【米尔-TIAM62开发板-接替335x-试用评测】+(五)手把手玩转U-Boot控制CPU管脚

接上四篇: 【米尔-TIAM62开发板-接替335x-试用评测】+(一)手把手配置Yocto 【米尔-TIAM62开发板-接替335x-试用评测】+(二)配置U-Boot步骤实战 【米尔
2024-01-08 10:02:15

手把手教你制作DAPLink

这篇文章主要描述利用RT-THREAD+CherryUSB制作DapLink调试器(R_DapLink)全流程。这里先感谢网友:sakumisu提供cherryUSB协议栈的技术支持。 什么是下载调试器简单来说,下载调试器是将PC(例如通过USB协议)发送的命令转换为MCU(负责MCU内部外围设备)理解的语言(例如SWD或JTAG协议)的设备,加载代码并精确控制执行。 什么是标准简单来说,标准是一组规则和协议,特定行业中的每个参与者都同意遵循并执行。符合某种内核的单片机Q,都可以使用这种协议来下载程
2023-12-26 08:35:51521

PCB上怎么画GND?手把手教你画“GND”

不要跨步“GND” 还有一些受制于结构导致的,某一个模块本应完整的GND,被其他走线分割成多个区域的跨步GND。例如下图的PCB电路所示,电源输入的负极接上PCB板后直接变成“GND”也就是①位置,往电源模块过去的方向上,①与②之间被信号线隔断
2023-12-22 17:38:39687

【飞腾派4G版免费试用】2.手把手实战编译Linux内核

亲爱的工程师、学生和爱好者们,我来啦!非常感谢上一篇文章【飞腾派4G版免费试用】1.实战交叉编译环境搭建和手把手uboot编译下方的评论区网友:Jonny的赞赏和表扬,特此为热心网友再创作一篇,作为
2023-12-16 23:28:20

【米尔-TIAM62开发板-接替335x-试用评测】+(四)手把手根据硬件配置 CPU 功能管脚

接上三篇: 【米尔-TIAM62开发板-接替335x-试用评测】+(一)手把手配置Yocto - 米尔电子 【米尔-TIAM62开发板-接替335x-试用评测】+(二)配置U-Boot步骤实战
2023-12-09 15:48:48

最新ChatGPT详细注册图文解说教程 ChatGPT账号注册详细步骤分析

2024年注册ChatGPT详细教程,手把手教你完成ChatGPT的注册
2023-12-04 17:18:381982

手把手教你通过CODESYS V3进行PLC编程(三)

宏集MC系列是基于树莓派的高性能4核控制器;通过之前的教程,我们已经为大家演示了宏集MC-Prime的连接、试运行和CODESYS安装,并创建了一个计数器项目;本期教程将进一步教大家如何实现CODESYS的可视化
2023-11-30 14:02:16330

手把手教您使用盐水喷雾试验机

盐水喷雾试验机怎么使用?广东艾思荔告诉您,盐水喷雾试验机使用时要注意的事项很多,操作人员在使用时切不可疏漏。使用前应充分做好准备:纯净水应提前加到饱和桶.盐水应提前配制好.箱体与箱盖应提前水密封.电应提前到位。广东艾思荔售后技术还可提供免费教机服务,让您操作维护无后顾之忧。1.把试验箱接上电源,操作面板上有三个指示灯亮,这三个指示灯,都是水位指示灯,分别是两
2023-11-29 11:05:09275

【米尔-TIAM62开发板-接替335x-试用评测】+(三)手把手创建Uboot设备树与内核设备树实战

《Android Runtime源码解析》+深入体会第章ART的执行(4) 本人在本论坛内的帖子:6—手把手实战Linux控制小车 - RISC-V技术 【米尔-TIAM62开发板-接替335x-试用评测
2023-11-28 09:54:17

手把手教你通过CODESYS V3进行PLC编程(一)

宏集MC系列模块化控制器是基于Raspberry Pi的高性能4核控制器,本系列教程将以宏集MC-Prime为例,详细演示通过CODESYS V3进行控制器测试编程的步骤,引导您轻松玩转宏集MC系列控制器✌
2023-11-21 15:13:41324

手把手带你创建HAL版本MDK工程模板

如何快速开发 STM32 项目?我们总不能每次开发一个项目就搭建一次工程,这样效率太低了。
2023-11-20 10:31:26458

《电子工程师必备——九大系统电路识图宝典》+附录2化整为零和集零为整电路分析方法

学习方法 《运算放大器参数解析与LTspice应用仿真》+学习心得3第二章电气参数 本人在本论坛内的帖子: 【米尔-TIAM62开发板-接替335x-试用评测】+(一)手把手配置Yocto 【米尔
2023-11-18 21:06:29

《Android Runtime源码解析》+深入体会第章ART的执行(4)

-接替335x-试用评测】+(一)手把手配置Yocto 【米尔-TIAM62开发板-接替335x-试用评测】+(二)配置U-Boot步骤实战 6—手把手实战Linux控制小车 - RISC-V技术
2023-11-17 01:33:20

【米尔-TIAM62开发板-接替335x-试用评测】+(一)手把手配置Yocto

://bbs.elecfans.com/jishu_2380842_1_1.html 《Android Runtime源码解析》+学习心得首发(3) 本人在本论坛内的帖子:6—手把手实战Linux控制小车
2023-11-13 00:48:28

手把手教你通过CODESYS V3进行PLC编程(二)

在上一期教程中,我们已经完成了控制器设备的连接和配置。接下来的教程将继续以HK-MC-Prime为例,假设控制器已经配置并连接到开发者的PC上,为您演示如何为控制器安装合适的CODESYS V3版本并创建第一个程序。
2023-11-09 10:06:42342

手把手带您使用MCUXpresso Config Tools生成USB组合设备

手把手带您使用MCUXpresso Config Tools生成USB组合设备
2023-10-31 16:55:26633

手把手教你Wireshark使用教程

Wireshark使用的环境大致分为两种,一种是电脑直连网络的单机环境,另外一种就是应用比较多的网络环境,即连接交换机的情况。
2023-10-30 16:40:20594

LOTO示波器_从零开始手把手测电源开环增益/电源环路频响曲线/PSM

我们之前有篇文章从理论到实践演示了如何测量电源环路的开环增益曲线,不过偏重于理论和原理,没有很多细节的展现,所以这片文章从另外的角度,从零基础开始,手把手一步一步演示如果进行实操测试。
2023-10-26 16:08:55388

示波器_从零开始手把手测电源开环增益/电源环路频响曲线/PSM

示波器_ 从零开始手把手测电源开环增益/电源环路频响 曲线/ PSM 我们之前有篇文章从理论到实践演示了如何测量电源环路的开环增益曲线,不过偏重于理论和原理,没有很多细节的展现,所以这片文章从另外
2023-10-26 15:54:40

手把手教你学FPGA仿真

电子发烧友网站提供《手把手教你学FPGA仿真.pdf》资料免费下载
2023-10-19 09:17:361

【直播预告】LabVIEW机械手视觉纠偏关键技术探讨

视觉软件著作权;编写了《Labview视觉算子详解》一书;开发了《labview机器视觉实用教程》全套2000分钟视频教程,《龙哥手把手教你学视觉-视觉篇》,《龙哥手把手教你学视觉-运动篇》,《龙哥
2023-10-17 15:37:40

手把手教你学FPGA仿真篇

大型项目中有专门的验证同事,这种专业的验证有专门的验证方法学,目前SystermVerilog 越来越成为主流的验证语言。本文不涉及 SystermVerilog 这种专业的验证语言,本人目的是为了让大家能够搭建简单的 UT 单元测试环境。Verilog 也有适用于仿真的代码,这些代码是不可以综合的。可以使用Verilog 来搭建基本的单元测试环境。本问介绍了如何编写测试验证程序(test bench)。测试验证程序用于测试和验证设计的正确性。Verilog HDL 提供强有力的结构来说明测试验证程序。
2023-10-13 08:11:45

【昉·星光 2 高性能RISC-V单板计算机体验】+6手把手实战Linux控制小车

体验】4连接HDMI显示图形界面 - RISC-V技术论坛 【昉·星光 2 高性能RISC-V单板计算机体验】5 赛昉科技更新202308镜像测试首发- RISC-V技术论坛 手把手实战详细步骤、源代码如下
2023-10-12 10:05:57

FPGA 求助 200人民1小时,按时付费

。需要牛人协助 把ARM M0 的 Verilog Code 建立FPGA project 一步一步,最后烧录到FPGA 里面验证 ARM M0 需要有5以上FPGA经验的牛人 手把手一下。 报酬是每小时200人民, 按实际花费的时间付费 有意向者,请联系WX dustofsun 谢谢
2023-10-06 10:35:07

手把手教你基于RT-Thread Studio使用STM32单片机的PWM外设

目前我使用的是STM32L475系列单片机,是ali当时在PDD 50块钱卖的一个开发板,其他STM32单片机参考即可,这里会手把手教大家基于RTT Studio 来使用PWM。
2023-09-28 15:12:412285

手把手教你制作无线充电器

手把手教你制作无线充电器(无线充电原理+产品应用+DIY实例)
2023-09-28 07:48:01

用51单片机DIY音乐频谱显示

该资源是手把手教你用51单片机DIY音乐频谱显示
2023-09-27 07:42:25

手把手,带你探索i.MX 93在机器学习中的应用!

i.MX 93应用处理器 通过集成的 EdgeLock安全区域提供高效的机器学习(ML)加速和高级安全性,以支持高能效的边缘计算。它是i.MX系列中首个集成了Arm Cortex-A55内核的产品,有助于提高Linux边缘应用及Arm Ethos-U65 microNPU的性能与能效,助力开发人员打造功能更强大、更具成本效益、更节能的ML应用。 在本期的视频讲座中,恩智浦的专家将围绕以下五个知识点,循序渐进,带着大家一起去探索i.MX 93在机器学习中的应用: 在嵌入式平台上部署人工智能应用的优势
2023-09-22 08:10:06288

STM32Cube学习笔记

STM32Cube学习笔记,一步一步手把手带你进入STM32Cube的世界,包括点灯,按键,串口,ADC,DAC等等一共16篇。
2023-09-20 06:49:48

【触觉智能 Purple Pi OH 开发板体验】Ubuntu固件手把手烧录

1、下载触觉智能官网提供的Ubuntu镜像 2、下载触觉智能官网提供的一系列tools工具 3、根据触觉智能官网提供的 固件及烧录说明.pdf*附件:固件及烧录说明.pdf 4、安装RK USB 驱动 5、Loader模式升级固件 1、)遇见问题 2、)解决问题 3、)成功烧录 精彩继续中。。。。。。
2023-09-18 14:16:41

手把手教你pcb压合的整个流程,小白也能玩转电路板制作

手把手教你pcb压合的整个流程,小白也能玩转电路板制作
2023-09-18 10:43:221335

NFC电路匹配实例 NFC电路原理图和PCB设计

很多朋友后台私信我,要有一个手把手的实例演示就好了,刚好一个智能锁的项目,刷卡距离只有3mm左右,需优化刷卡距离.这次调试记录了调试过程的所思所想,欢迎批评指证.
2023-09-14 16:00:273366

手把手教你动态编辑Xilinx FPGA内LUT内容

在7系列FPGA中,将近2/3的SLICE是SLICEL,其余的是SLICEM[1],也就是说,FPGA内2/3的资源在bitstream文件下载后,其逻辑功能就无法更改了,除非修改代码并生成新的bitstream文件。
2023-08-26 14:18:371039

电子工程师的工具

电源电路DIY电池
学习电子知识发布于 2023-08-23 21:49:54

手把手教你OTA升级开发

简介 升级包安装组件运行在updater分区,其功能主要包括读取misc分区信息获取升级包状态,对升级包进行校验,确保升级包合法有效;然后从升级包中解析出升级的可执行程序,创建子进程并启动升级程序。具体升级的动作由升级脚本控制。本文将介绍如何针对OpenHarmony L2场景适配updater模式。主要内容包括: *1.OTA升级实现原理 2.OTA升级适配 3.升级包制作工具 4.升级包制作流程 5.OTA升级UX界面适配 6.示例服务器开发概述*前置条件 参考OpenHarmony官方指导,完成正常系统编译和内核启动,能进入正常模式,且运行正常。 芯片需配置包含updater分区和misc分区的分区表。updater分区大小不小于32M。 **1、OTA升级实现原理 1.1 OTA实现主要流程:**1.2 升级服务组件 升级服务组件是一个SA(System Ability), 由OHOS 的init 进程负责启动。 升级服务器引擎主要功能包括: 1、查找可用的升级包 2、下载升级包 3、设置/获取升级策略 4、触发升级 代码目录 base/update/updateservice# 升级服务代码仓目录 ├── interfaces # 升级客户端接口目录 │├── kits# 对外接口封装目录 ││└── js # 提供给升级客户端应用的JS 接口目录 │└── inner_api # SA 接口定义和封装目录 ├── frameworks # 部件无独立进程的实现 │└── js# JS API的实现 │ └── napi# napi代码实现 │└── client# 升级客户端napi 接口目录 ├── services# 独立进程的实现 │├── callback# 提供给升级客户端应用的callback接口目录 │└── engine # 升级客户端引擎服务目录 │ ├── etc# 升级客户端引擎rc配置文件目录 │ ├── include# 升级客户端引擎头文件目录 │ ├── sa_profile# SA 配置文件目录 │ └── src# 升级客户端引擎源码目录 ├── test # 测试代码目录 │├── unittest# 升级客户端UT代码目录 │└── fuzztest# 升级客户端FT代码目录 ├── BUILD.gn# 编译入口 └── bundle.json# 部件描述文件 JS接口说明 接口 说明 checkNewVersion 检查是否有可用的升级包版本 download() 下载升级包 upgrade() 将升级命令写入到misc分区,最终调用reboot命令,进入到updater 子系统中。 getNewVersionInfo() 升级完成后,获取升级后的版本信息 setUpgradePolicy 设置升级策略 getUpgradePolicy 获取升级策略 使用说明 1,导入updateclient lib import client from \'libupdateclient.z.so\' 2,获取update对象 let updater = client.getUpdater(\'OTA\'); 3,获取新版本信息 updater.getNewVersionInfo(info => { info \"新版本信息\" }); 4,检查新版本 updater.checkNewVersion(info => { info \"新版本信息\" }); 5,下载新版本,并监听下载进程 updater.download(); updater.on(\"downloadProgress\", progress => { progress \"下载进度信息\" }); 6,启动升级 ------------------------------欲知详情,请移步LAVAL社区OTA升级开发指导----------------------------
2023-08-22 09:13:18

十年经验手把手教你单片机

2023-08-21 17:51:062

基于FPGA按键控制LED-ISE操作工具

本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以有系统性学习的机会。
2023-08-16 09:28:46316

在vivado上一直识别不了开发板的JATG接口怎么解决?

我在vivado上一直识别不了开发板的JATG接口,也已经参考社区提供的解决方案尝试了:[size=1.75]RVMCU课堂「9」: 手把手教你玩转RVSTAR—常见问题,设备管理器显示如下,但是vivado还是识别不了,请大佬指点一下,谢谢。
2023-08-16 07:16:30

有奖活动 | 大论道:一同畅聊鸿蒙生态

​ 活动简介 即日起-20239月5日,参与本期活动与大一起聊聊鸿蒙新生态,您可以在社区写下对鸿蒙生态的畅想,也可以学习相关课程并获取证书,完成活动任务即可参与精美礼品抽奖。 活动周期 8月1
2023-08-08 16:30:35

手把手带小白做MODBUS通讯实验

我们做程序库封装的基本要义是高内聚低耦合, 而评价低耦合的终极体现则是使用实现过程的优雅程度。
2023-08-06 17:05:14698

手把手大家制作行人可控交通灯

芯片嵌入式物联网STM32
学习电子知识发布于 2023-08-02 12:37:30

信驰达RF-BM-2340B1蓝牙模块手把手透传指南

RF-BM-2340B1 是信驰达科技基于美国TI的 CC2340为核心设计的一款SimpleLink 2.4 GHz 无线模块,支持Bluetooth®5.3 Low Energy、Zigbee®、IEEE 802.15.4g、TI 15.4-Stack (2.4 GHz)及私有协议。集成了高性能 ARM Cortex-M0+ 处理器,具有 512 KB Flash、 36 KB 超低泄漏 SRAM,板载工业级 48 MHz 晶振。模块引出了 24 个 IO ,包含多种外设,如:I2C、UART、SPI、ADC 和GPIO。支持 BLE 5 功能:高速模式(2 Mbps PHY),远距离广播(LE Coded 125kbps 和500kbps PHY),且向下兼容 BLE 4.2 及早期的 BLE 规范的关键功能。
2023-07-28 10:01:51314

手把手带你了解一块电路板,从设计到制作(干货)

拆开手机内部,会发现手机的主体部分除了用于显示的屏幕触控板就是一块有各种各样元器件的电子电路板。 所以问题来了,这个电子电路板是怎么来的? 本次就来聊一聊这个电子电路板从无到有的过程。 首先需要知道,电子电路板也叫主板,主板一般我们叫PCB(Printed Circuit Board)板,中文名称印刷电路板,上面集成了各种规格的电阻,电容,电感二极管等,当然也包含有各种功能的IC,在这些元件的共同作用下,得到了具有各种功能的电子产品的电
2023-07-26 10:33:121856

手把手教您家用路由器应该如何挑选

手把手教您家用路由器应该如何挑选
2023-07-26 09:20:57763

信驰达RF-BM-2340B1蓝牙模块手把手透传指南

RF-BM-2340B1 是信驰达科技基于美国TI的 CC2340为核心设计的一款SimpleLink 2.4 GHz 无线模块,支持Bluetooth5.3 Low Energy、Zigbee、IEEE 802.15.4g、TI 15.4-Stack (2.4 GHz)及私有协议。集成了高性能 ARM Cortex-M0+ 处理器,具有 512 KB Flash、 36 KB 超低泄漏 SRAM,板载工业级 48 MHz 晶振。模块引出了 24 个 IO ,包含多种外设,如:I2C、UART、SPI、ADC 和GPIO。支持 BLE 5 功能:高速模式(2 Mbps PHY),远距离广播(LE Coded 125kbps 和500kbps PHY),且向下兼容 BLE 4.2 及早期的 BLE 规范的关键功能。
2023-07-25 18:23:47491

112.液晶电视黑屏故障,手把手换灯条 #硬声创作季

电路电容
充八万发布于 2023-07-22 01:41:16

STM32+SD NAND(贴片SD卡)完成FATFS文件系统移植与测试

这篇文章就手把手教大家,在STM32上完成FATFS文件系统的移植;主控芯片采用STM32F103ZET6, 存储芯片我这里采用(雷龙) CS创世 SD NAND 。 SD NAND 简单来说就是
2023-07-17 17:24:393927

U-boot的基本介绍

从本文开始,将陆续推送“手把手教你移植U-boot”系列文章,目标是由浅入深地讲解U-boot的工作流程、原理、配置方法和移植方法,手把手教你完成U-boot的移植工作,默认硬件开发平台为ARM,操作系统为Linux。
2023-07-14 16:52:011233

专家手把手教您注册 COS 以及提交 Hardware Case

Software Case  您可参考: (点击进入☞) 《专家手把手教您注册 COS 以及提交 Case》 。 如您想提交 Hardware Case,本篇将手把手教您,
2023-07-12 12:15:02577

【教程】手把手教你!搭建LoRaWAN自动采集系统

一系统简介随着物联网技术的发展和应用,许多新兴的物联网平台和协议出现。LoRaWAN是一款基于LoRa的星型网络,使用LoRa的低功耗、距离远的特性,这种网络适用于各类抄表业务。LoRaWAN网络组成主要分三部分,设备端、网关和服务器端。下文是使用E78-DTU、E890-470LG11LoRaWAN网关搭建一个LoRaWAN的自动采集系统,LoRaWAN服
2023-07-07 10:02:15574

手把手分享做网线水晶头的技巧

如今,随着网络技术的飞速发展,电脑已经成为家庭和工作的必备工具。电脑上网需要接入网线。你知道网线两端的插头是怎么做的吗?下面科兰小编手把手分享做网线水晶头的技巧。 做网线水晶头首先要知道网线的结构
2023-07-05 10:35:441183

【视频教程】紫光同创PGL22G关键特性评估板@盘古22K开发板开箱教程

开箱大吉#紫光同创PGL22G关键特性评估板@盘古22K开发板 开箱教程来啦!详细教程手把手啦!#紫光盘古系列开发板@盘古22K开发板 基于紫光同创40nm工艺的FPGA主控芯片(Logos系列
2023-06-28 10:46:17

好书推荐|《OpenHarmony嵌入式操作原理与应用》

。 这本书除了对rk2206开发板进行硬件原理图和芯片资源的讲解,还对软件可能涉及到的操作系统原理知识进行了更细致的介绍。所以完全不用担心这本书不会你。从环境搭建到使用,可以说是手把手教你如何去做
2023-06-27 23:12:28

手把手教你画“GND”

“GND”在一块PCB板上的重要程度,不亚于水对人体的重要程度。怎么画好“GND”会伴随硬件工程师很长一段时间,想要画好“GND”其实并不难,只要注意下面这几点就可以了。
2023-06-20 10:15:35753

手把手用Verilog实现FIR滤波器

首先需要把FIR最基本的结构实现,也就是每个FIR抽头的数据与其抽头系数相乘这个操作。由顶层文件对这个基本模块进行多次调用。
2023-06-19 11:45:122161

手把手教你无感FOC电机控制

该图为FOC入门基本框架,我们围绕着这个框图开始从0开始写FOC算法。
2023-06-09 16:43:154176

手把手教你电气图变成PLC程序图

PLC程序设计,一般均采用直觉法,也就是说它植基于电路设计者本身之学习经验,较为主观及直接。须经历一段瞎子摸象的尝试错误(tryanderror)时期,对程序进行除错之后才能符合所需功能或动作要求
2023-06-06 10:01:57368

手把手教你搭建内网穿透服务器

有时候我们需要把外网可以访问自己的内网,比如在微信公众号开发调用接口时为了方便调试就需要配置回调地址或者是想把自己的nas可以在不在家就能访问,这时候就需要内网穿透。
2023-05-29 16:31:2712309

手把手教你开发圆盘仪表控件

大家好,我是新阁教育韩工,前几天在网上看到了一个比较好看的环形控件,今天我们来尝试使用GDI+的方式来绘制一下。上位机自定义控件库其实本质上就是一个类库,所以我们在创建项目时直接创建类库项目。
2023-05-29 15:06:450

手把手教你MATLAB,Sumlink电机控制代码生成,内容表贴电机凸极电机无感FOC重载启动。系列课程免费直播在线互动。

202306月08日下午3点直播开始(15:00时) 算法完全开源。芯片基于STM32F302C8T6。本次系列课程会在电子发烧友免费直播。期间会把算法全开源。资料全开源。手把手大家搭建FOC
2023-05-26 14:00:49

上位机中定时器的使用和串口的配置

本文是讲解C#.net平台的Winform框架下的第三个内容,手把手介绍上位机项目的创建方式以及一些写软件时常用的功能,讲解从零开始的每一个步骤。
2023-05-19 17:17:433

7种方法怎么进步电感Q值

7种方法怎么进步电感Q值 7种方法怎么进步电感Q值电感Q值指的是电感质量因素,一般来说,电感Q值从十几到几百的都有。近日小编接到来自山东,江西,江苏等省份多个仪器外表及检测行业的客户发来
2023-05-19 15:08:32

5天学会简单的步进电机控制,手把手编写程序

电工技术
YS YYDS发布于 2023-05-11 21:58:26

FPGA零基础学习:数字电路中的时序逻辑

大侠好,欢迎来到FPGA技术江湖。本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以有系统性学习的机会。
2023-05-11 11:48:00576

手把手教你用博图V17编写一个PLC程序

添加新设备后,与博途V16其它版本不同的是,博途V17有一个弹出窗口,需要进行PLC安全设置。首先设置PLC数据密码,如图所示。
2023-05-11 10:42:173038

手把手带你搞硬件设计

先用万能植锡钢网(这是最落后的工具,除此之外还有植锡台,不过挺贵的),跟BGA对齐,再用胶布把BGA和钢网粘住固定好。先加锡膏,再用风枪吹一会(风枪的风速和温度可以调低一点),锡变亮的时候,再用手术刀,把多余的锡刮走。如果锡球不均匀的话,再重复上一步,直接锡球均匀为止。撕掉胶布,用手术刀把BGA撬起来。
2023-05-10 11:54:42775

C#上位机系列(1)—项目的建立

本文是讲解C#.net平台的Winform框架下的第一个内容,手把手介绍项目的创建方式以及一些写软件时常用的功能。之前写过一篇关于示波器的比较抽象,本文讲解从零开始的每一个步骤
2023-05-10 10:38:210

手把手教你写上位机软件(c#、winform)

在自动化行业中的上位机软件类型一般分为以下几种: 1、组装设备的上位机软件 该类型的软件一般有以下功能: 1)和PLC通信; 2)和相机通信,界面显示图片以及通过图像算法获取算法计算结果; 3)各种参数开放到界面可设置; 4)数据的保存,将数据以csv、txt等格式进行保存; 5)日志用于问题分析
2023-05-10 10:30:285

手把手教你写上位机(三)TCP使用

网络通信中的一个非常重要的概念就是套接字(Socket),简单地说,套接字就是网络进程的 ID,网络通信归根到底是进程的通信,在网络中,每个节点有一个网络地址(即 IP 地址),两个进程通信 时,首先要确定各自所在网络节点的网络地址,但是,网络地址只能确定进程所在的计算机,而一台计算机上可能同时有多个网络进程,还不能确定到底是其中的哪个进程,由此套接字中还要有其他的 信息,那就是端口号(Port),在一台计算机中,一个端口
2023-05-09 10:58:360

手把手教你编写一个上位机

本次来教大家编写一个基于QT的简单的上位机。 学习一个新的东西我们都从最基础地实例开始,比如学习C语言我们会从编写一个hello程序开始、学习嵌入式我们从点灯开始。 同样的,我们也从编写一个简单的基于QT的上位机来体会体会上位机开发及认识认识QT。
2023-05-08 10:36:441

手把手教你电机FOC控制

三向电机,分别为UVW三向,角度互差120度。若使用BLDC控制方法,如下图每次换向增加60度,转子只能到达六个位置,所以六步换向时会有振动。使用FOC控制方法可以使转子到达任意角度,所以 运行起来会更加平滑。 如果想到达40度的位置,只需要在0度方向通电一段时间,在60度方向通电一段时间,再在空矢量的状态下通电一段时间(全桥000或111的位置为空矢量,空矢量的时长用来调节扭矩。后面会讲到),三 段时间组成一个周期,以这个周期循环产生
2023-05-06 11:07:4811

手把手大家制作行人可控交通灯

DIY
YS YYDS发布于 2023-05-03 17:58:25

工程师手把手教你硬件电路设计

在学习电路设计的时候,不知道你是否有这样的困扰:明明自己学了很多硬件电路理论,也做过了一些基础操作实践,但还是无法设计出自己理想的电路。归根结底,我们缺少的是硬件电路设计的思路,以及项目实战经验
2023-04-26 09:36:552397

MIMXRT1170-EVK变的原因?

MIMXRT1170-EVK 变
2023-04-21 08:03:32

智能面板小程序如何实现跨端开发,并无缝引入ChatGPT?

手把手教你开发智能面板小程序
2023-04-18 18:31:345196

成长计划知识赋能 | 第十期:DAYU200开发入门秒Get

的框架原理、从零上手OpenHarmony智能家居项目、手把手教你实现WiFi扫描仪、涂鸦小游戏、渐进式深入理解OpenHarmony系统等41节课程,覆盖近10万+开发者。2023
2023-04-17 11:18:05

德索手把手教你延长LVDS连接器的使用寿命

德索五金电子工程师指出,LVDS连接器电子元件的使用寿命,很多时候能决定了行业中电子连接系统的寿命,从电信到制造再到自动化。当您对机器设备或连接系统的早期故障进行故障排除时,故障可能与关键系统的LVDS连接器电子元件的故障有关。那该注意哪些问题才能防止这种情况发生呢?
2023-04-14 11:04:15239

【正点原子STM32精英V2开发板体验】资料获取方式

个,工程结构更加合理、编程风格更加规范化等。教程方面推出了一系列全新的视频!目前已经上架(并持续更新)的视频包括:手把手教你学STM32 HAL库开发教学视频(兼容全系列)、手把手教你学
2023-04-13 09:13:27

智能车浅谈—手把手让车跑起来(电磁篇)

这里以基础电磁四轮组为例,建议使用C车模,B车的傻蛋5舵机以及机械差速还是有些许的头秃,当然官方今年好像已经宣布了换掉SD5舵机,由于C车是双电机可以使用主动差速,所以建议大家上手选择C车。
2023-04-11 09:43:221596

超实用!手把手教你如何拷贝遥控器

一般用户习惯称为万能遥控器,而我们一般习惯叫它对拷遥控器或者射频遥控器,它的出现使电动车或者电动门匹配变得十分简单,特点是:不需要拷贝机,也不需要知道震荡电阻,不需要复杂的操作,只需要轻轻松松的几部操作就可以获得崭新且功能一样的遥控器;但前提是得保证原来遥控器可使用并且知道频率。
2023-04-07 17:24:158097

手把手教你同轴连接器优化设计

射频同轴连接器是微波领域中重要的射频传输元件,因其频带宽、连接方便可靠、性能优越、成本低廉,在微波通信设备、仪器仪表及武器系统中得到广泛应用。
2023-04-07 10:50:44733

手把手教你学习Spyglass工具

作为IC设计人员,熟练掌握数字前端语法检查工具Spyglass的重要性不言而喻,本文手把手教你学习Spyglass工具。
2023-04-03 10:46:541498

手把手教您如何设计3通道交错式CCM升压PFC(上篇)

点击蓝字 关注我们 交错式升压功率因数校正 (PFC) 转换器可以通过负载均流来提高效率,因此它已成为高功率应用的首选拓扑。通过在多个平衡相位中分担负载电流,可以显著减小每相的 RMS 电流应力、电流纹波和升压电感大小。因此,重载效率显著提高,从而允许选择高性价比的功率 MOSFET 和升压二极管,并有利于延长电源的使用寿命。 FAN9673 先进 PFC 控制器是实现高功率 PFC(数千瓦以上)的出色解决方案。 FAN9673 是一款连续导通模式 (CCM) PFC 控制器,
2023-03-29 23:20:042484

阿尔法Linux

阿尔法Linux ATK-IMX6F800E8GD512M-B 6~24V
2023-03-28 13:06:25

手把手教你S7-1200中实现PID控制

PID功能用于对闭环过程进行控制。PID控制适用于温度、压力和流量等物理量,是工业现场中应用最为广泛的一种控制方式,其原理是对被控对象设定一个给定值然后将实际值测量出来,并与给定值比较,将其差值送入PID控制器,PID控制器按照一定的运算规律,计算出结果,即为输出值,送到执行器进行调节,其中的P、I和D指的是比例、积分和微分,是一种闭环控制算法。通过这些参数,可以使被控对象追随给定值变化并使系统达到稳定,自动消除各种干扰对控制过程的影响。
2023-03-27 14:54:373311

已全部加载完成