0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Xilinx塑造未来

Xilinx视频 来源:郭婷 2018-11-29 06:17 次阅读

未来会是什么样子?我们将以何种方式生存?是什么技术在引领着我们进入这样的未来世界?Xilinx正在塑造未来,未来就在这里!

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 赛灵思
    +关注

    关注

    32

    文章

    1794

    浏览量

    130527
  • 技术
    +关注

    关注

    0

    文章

    419

    浏览量

    58328
收藏 人收藏

    评论

    相关推荐

    Xilinx fpga芯片系列有哪些

    Xilinx FPGA芯片拥有多个系列和型号,以满足不同应用领域的需求。以下是一些主要的Xilinx FPGA芯片系列及其特点。
    的头像 发表于 03-14 16:24 763次阅读

    FPGA设计高级技巧 Xilinx

    FPGA设计高级技巧 Xilinx
    发表于 01-08 22:15

    简述Xilinx 7系列FPGA芯片相关知识

    Xilinx 7系列 芯片 应用非常广泛,具有成本低、性能强悍、成熟稳定的特点,目前Xilinx( AMD )已延长该系列芯片的生命周期至少到2035年。 本文主要介绍Xilinx 7系列 FPGA
    的头像 发表于 11-28 10:20 488次阅读
    简述<b class='flag-5'>Xilinx</b> 7系列FPGA芯片相关知识

    简述Xilinx 7系列FPGA芯片相关知识

    Xilinx 7系列芯片应用非常广泛,具有成本低、性能强悍、成熟稳定的特点,目前Xilinx(AMD)已延长该系列芯片的生命周期至少到2035年。
    发表于 11-27 09:26 445次阅读
    简述<b class='flag-5'>Xilinx</b> 7系列FPGA芯片相关知识

    Xilinx FPGA IP之Block Memory Generator功能概述

    Xilinx Block Memory Generator(BMG)是一个先进的内存构造器,它使用Xilinx fpga中的嵌入式块RAM资源生成面积和 性能优化的内存。
    的头像 发表于 11-14 17:49 951次阅读
    <b class='flag-5'>Xilinx</b> FPGA IP之Block Memory Generator功能概述

    罗彻斯特电子携手AMD/Xilinx可持续供应Xilinx传统FPGA产品

    罗彻斯特电子携手AMD/Xilinx,为Xilinx传统FPGA和相关配置PROM产品提供供货支持。
    的头像 发表于 11-07 09:04 269次阅读

    Xilinx AI SDK用户指南

    电子发烧友网站提供《Xilinx AI SDK用户指南.pdf》资料免费下载
    发表于 09-15 14:22 0次下载
    <b class='flag-5'>Xilinx</b> AI SDK用户指南

    Xilinx AI SDK编程指南

    电子发烧友网站提供《Xilinx AI SDK编程指南.pdf》资料免费下载
    发表于 09-15 11:15 1次下载
    <b class='flag-5'>Xilinx</b> AI SDK编程指南

    Xilinx FPGA的GTx的参考时钟

    本文主要介绍Xilinx FPGA的GTx的参考时钟。下面就从参考时钟的模式、参考时钟的选择等方面进行介绍。
    发表于 09-15 09:14 2419次阅读
    <b class='flag-5'>Xilinx</b> FPGA的GTx的参考时钟

    Xilinx功耗估算用户指南

    电子发烧友网站提供《Xilinx功耗估算用户指南.pdf》资料免费下载
    发表于 09-13 09:18 0次下载
    <b class='flag-5'>Xilinx</b>功耗估算用户指南

    AMD Xilinx K26从eMMC启动Ubuntu

    AMD Xilinx K26支持Ubuntu。从ubuntu amd-xilinx下载映像后,把image烧入到TF卡
    的头像 发表于 07-10 15:58 513次阅读

    Xilinx Zynq7035算力指标

    本文介绍广州星嵌DSP C6657+Xilinx Zynq7035平台下Xilinx Zynq7035算力指标。
    的头像 发表于 07-07 14:15 873次阅读
    <b class='flag-5'>Xilinx</b> Zynq7035算力指标

    XILINX FPGA IP之Clocking Wizard详解

    锁相环基本上是每一个fpga工程必不可少的模块,之前文档xilinx 7 系列FPGA时钟资源对xilinx fpga的底层时钟资源做过说明,但是对于fpga的应用来说,使用Clocking Wizard IP时十分方便的。
    发表于 06-12 17:42 3257次阅读
    <b class='flag-5'>XILINX</b> FPGA IP之Clocking Wizard详解

    Xilinx FPGA pcb设计

    Xilinx FPGA pcb设计
    发表于 05-29 09:11 0次下载

    医疗行业的未来,人工智能这样塑造

    如同任何技术一样,将AI用作医疗用途也会带来成本和安全问题,但此举带来的优势却远比这些问题更加重要、更加令人信服。人工智能在塑造未来医疗行业中所起的作用是广泛而又迫切的。
    的头像 发表于 05-08 10:38 1294次阅读