0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何添加NGC文件到工程目录中的步骤教程

Hx 作者:工程师陈翠 2018-07-12 03:59 次阅读

ISE 中如何添加NGC文件

在使用ISE时候,我们经常在translate阶段会碰到这种错误,NgdBuild:604 - logical block ‘U5/U0’ with type ‘DCM0’ could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, or the misspelling of a type name. Symbol ‘DCM0’ is not supported in target ‘spartan3e’。 这是因为我们在添加文件时候,忘记添加NGC文件到工程目录中。下面就演示如何添加NGC文件到工程目录中。NGC文件既要添加到Synthesize的目录下,也要添加到Implement目录下。

第一步:在Synthesize下添加NGC文件

如图在SynSynthesize中选择Process Properities

如何添加NGC文件到工程目录中的步骤教程

在Synthesis Options中可以看到-sd和-vlgincdir。在-sd一栏中添加工程中所有的ngc或的edn文件的路径目录。在-vlgincdir中添加define.v文件的目录。

如何添加NGC文件到工程目录中的步骤教程

第二步:在到Implement目录下添加NGC文件

如图在Implement Design中选择Process Properities。

如何添加NGC文件到工程目录中的步骤教程

1、第一种方法在Translate Properties中的-sd一栏中添加添加工程中所有的ngc或的edn文件的路径目录否则translate的时候会出错。

如何添加NGC文件到工程目录中的步骤教程

2、遇到这个问题,第二种方法是如上在ISE中implememt中右键在Translate /process propreties中“-sd: Macro search Path” 中加上EDK工程的implementation子目录的路径,也就是.V文件所在的路径。

3、按照官方给出的解决方法加上(* box_type = “user_black_box” *)就好了,我们调用的IP核的内部结构都是保密的,也就是BLACK BOX加了这一句,也就是指向了你导入的那些东西。不加的话,指向性就不明确了,所以报错说找不到。个人认为这算是软件的BUG,因为既然你已经导入了,就应该自动添加指向的。估计在更高的版本会修正吧。

XPS中自定义用户IP如何添加NGC文件

这一步如果纯粹是自己写的硬件语言(VHDL或Verilog HDL),不需要添加什么文件,按步骤来添加即可,但是你的程序调用了部分模块,比如核生成器生成的核,就必须添加NGC文件,否则在implement就出现如下的错误:

NgdBuild:604 - logical block ‘myip_0/myip_0/TesterMul_inst’ with

type ‘TesterMul’ could not be resolved. A pin name misspelling can cause

this, a missing edif or ngc file, or the misspelling of a type name. Symbol

‘TesterMul’ is not supported in target ‘spartan3a’。

仅仅修改pao文件是没有用的

I have tried to move the new .vhd file into vhdl folder of myip and modified the .pao file to include the new .vhd file, but no difference.

What am I missing?

方法1:If you are working in XPS and creating a core that requires a coregen component. You need to add the ngc file created by coregen too.

When you import the core it asks you what types of files that you will be including. That is it has a radio box for HDL and below that box it

also has other choices. The choice below also should be selected since you have to add the ngc for your component also.

If you use Core generator and create a coregen component, this component have to added as ngc file to bbd (Black-Box Definition) file. This problem is described in Platform Specification Format Reference Manual - psf_rm.pdf(官方可下载)。

方法2:有人用这个方法做过,但是对于一个新的核,如果出现上面的错,产生不了bbd文件。这个文件就是列出网表文件(*.ngc)

My problem is solved. What I had to do was to:

- Add a .bbd fil to the data folder. This needs to list my two .ngc files

- Copy my two .ngc files to a new directory with the name: netlist

- Modify my .mpd file to include

OPTION RUN_NGCBUILD = TRUE

OPTION STYLE = MIX

如何将SYSGEN中生成的NGC文件加到ISE工程中

1、在system generator中选择NGC files选项,点击generator便可生成NGC文件,该文件生成在[模块名称].mdl工程文件夹内,将生成的[模块名称].V文件和[模块名称].NGC文件拷贝到ISE工程中,这里注意只需要选择[模块名称].V文件中端口定义部分即可。还有一个就是要例化工程,这部分在[模块名称].cw_veo中,生成VERILOG

代码对应_veo后缀,生成VHDL代码对应_vho.ngc文件复制到新项目的根文件夹下,并将一个只保留端口定义的HDL文件加入项目中,就可以在新的项目中使用原有的模块了

2、在使用FPGA验证时,我们经常会需要复用一些以前的项目模块,或者不希望我们的源代码被别人抄袭。我们也可以这样操作。具体的产生过程如下:

a)、在XST-》Process property中设置不要插入IOBUF。否则最终文件将会带有IOBUF,无法再集成到其他模块中。

b)、按照正常程序综合并translate。

c)、如果没有遇到错误,在ISE项目文件夹下,就会产生和顶层文件同名的.ngc文件。到此,ngc文件就产生完了。同样,在以后用到该模块时,我们可以将ngc文件复制到新项目的根文件夹下,并将一个只保留端口定义的HDL文件加入项目中。就可以在新的项目中使用原有的模块了。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • ISE
    ISE
    +关注

    关注

    1

    文章

    100

    浏览量

    35908
  • NGC
    NGC
    +关注

    关注

    0

    文章

    8

    浏览量

    3776
收藏 人收藏

    评论

    相关推荐

    请问怎么把Starterware的库添加进自己的工程

    想把Starterware的lwip库函数用到自己的工程,但我不知道怎么添加路径,直接复制工程
    发表于 08-01 09:21

    怎么将NGC添加到综合后项目中

    综合,结果存储在.DCP文件我做了第二个VIVADO综合后项目......我从第一个VIVADO项目添加了DCP文件,我也添加
    发表于 03-22 07:46

    如何创建一个Keil工程步骤

    目录下面去寻找包含了的头文件。这一步骤非常重要。如果没有设置头文件路径,那么工程会出现报错头文件
    发表于 02-12 16:47

    ngc添加错误

    我使用的是ISE 14.5。我正在尝试将ngc文件添加到项目中。此ngc是使用两个Xilinx内核DPRAM和RAM的自定义设计生成的。当我将生成的
    发表于 03-13 09:35

    Vivado 2015.2如何生成设计的.NGC文件

    我从Xilinx Vivado 2015.2生成了一个FIFOIP。但我无法在Xilinx ISE 14.7看到合成设计所需的.NGC文件。如何生成设计的.NGC
    发表于 04-24 09:40

    RT-Thread Studio工程添加文件工程

    常见问题如何添加文件工程可以直接通过复制粘贴往RT-Thread Studio工程添加
    发表于 03-29 06:44

    怎样移植FATFS文件工程文件

    STM32移植FATFS文件系统目录一、前言二、硬件及软件准备三、移植FATFS文件工程文件
    发表于 07-13 06:12

    把modbus库文件夹和port文件夹复制工程

    1、把modbus库文件夹和port文件夹复制工程目录下2、把两个文件
    发表于 08-24 07:30

    如何将FreeRTOS的源码文件复制工程文件

    的源码文件复制工程文件,一些用不到的文件可删除(哪些
    发表于 01-11 07:52

    RT-Thread添加文件工程目录的方法

    RT-Thread零基础快速入门第3讲——添加文件工程目录RT-Thread零基础快速入门第3讲——
    发表于 01-18 08:44

    请问SCONS如何添加文件至MDK5工程目录

    想要如图所示在工程目录显示.H文件。因为这种配置文件,每次都要从其他.C文件
    发表于 04-02 14:17

    SCONS如何添加文件至MDK5工程目录中去呢

    想要如图所示在工程目录显示.H文件。因为这种配置文件,每次都要从其他.C文件
    发表于 09-07 11:20

    文件添加步骤

    Protel库文件添加详细步骤
    发表于 11-04 11:15 0次下载

    使用NGC目录中的生产级模型 加速AI开发工作

    使用 NGC 目录中的生产级模型,加速 AI 开发工作。
    的头像 发表于 06-28 15:46 887次阅读

    NVIDIA NGC目录中的GPU优化容器

    借助 NVIDIA NGC 目录中的 GPU 优化容器,更快地开发和部署应用。
    的头像 发表于 07-01 11:21 1142次阅读