0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

D触发器与Latch锁存器电路设计

冬至子 来源:新芯设计 作者:新芯设计 2023-10-09 17:26 次阅读

引言

D 触发器,是时序逻辑电路中必备的一个基本单元,学好 D 触发器,是学好时序逻辑电路的前提条件,其重要性不亚于加法器,二者共同构成数字电路组合、时序逻辑的基础。

一、D 触发器的 Verilog 代码实现和 RTL 电路实现

module D_FF(
    input  Clk,
    input  D,
    output reg Q
    );

   always @(posedge Clk) begin
      Q <= D;
   end

endmodule

触发器(Flip - Flop):能够存储 1 位二值信号的基本单元统称为 D 触发器,简称 DFF,多个 D 触发器的级联便构成了能够存储多位二值信号的基本电路。时钟信号(Clock),简称 Clk,当系统中有多个 D 触发器需要同时动作时,就可以用同一个 Clk 信号作为同步控制信号。

电路中的输入端处没有小圆圈表示 Signal 以高电平为有效信号。(如果在 Signal 输入端画有小圆圈,则表示 Signal 以低电平作为有效信号)。

D 触发器的 RTL 电路图如下所示:

图片

D 触发器的 RTL 电路图

二、D 触发器的 Verilog 代码实现和 RTL 电路实现

module Latch(
    input din,
    input en,
    output reg dout
    );

    always @(din or en)
        if(en) 
            dout <= din;

endmodule

锁存器(Latch):一种对脉冲电平敏感的存储单元电路,可以在特定输入脉冲电平作用下才改变状态;而锁存,就是把信号暂存以维持某种电平状态。

锁存器的最主要作用是缓存,利用电平控制数据的输入与输出,它包括不带使能控制的锁存器和带使能控制的锁存器。锁存器的缺点是容易产生毛刺,不稳定,不利于静态时序分析。

锁存器的 RTL 电路图如下所示:

图片

锁存器的 RTL 电路图

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 锁存器
    +关注

    关注

    8

    文章

    745

    浏览量

    41040
  • RTL
    RTL
    +关注

    关注

    1

    文章

    377

    浏览量

    59075
  • D触发器
    +关注

    关注

    2

    文章

    147

    浏览量

    47381
  • 时序逻辑电路

    关注

    2

    文章

    78

    浏览量

    16402
  • 时钟信号
    +关注

    关注

    4

    文章

    374

    浏览量

    28067
收藏 人收藏

    评论

    相关推荐

    触发器、寄存和缓冲的区别

    的数据和运算结果,它被广泛的用于各类数字系统和计算机中。其实寄存就是一种常用的时序逻辑电路,但这种时序逻辑电路只包含存储电路。寄存的存储
    发表于 10-09 16:19

    凔海笔记之FPGA(六):触发器

    逻辑可构成时序逻辑电路,简称时序电路。现在讨论实现存储功能的两种逻辑单元电路,即
    发表于 05-21 06:50

    Verilog 基本电路设计指导书

    触发器 162.7.8 D-Latch) 172.8 ALU 182.9 有限状态机(FSM)的设计 202.9.1 概述 20
    发表于 12-08 14:42

    寄存触发器的区别

    寄存:registerlatch触发器:flipflop 一、
    发表于 07-03 11:50

    触发器、寄存三者的区别

    触发器:能够存储一位二值信号的基本单元电路统称为“触发器”。:一位
    发表于 09-11 08:14

    【转】数字电路三剑客:触发器和寄存

    变的情况下输出才变化。两个D可以构成一个D触发器,归根到底还是dff是边沿
    发表于 10-27 22:38

    的缺点和优点

    的,不过一定要保证所有的latch信号源的质量,在CPU设计中很常见,正是由于它的应用使得CPU的速度比外部IO部件逻辑快许多。latch
    发表于 04-23 03:35

    Verilog基本功--flipflop和latch以及register的区别

    触发器:flipfloplatch寄存:register
    发表于 08-27 08:30

    什么是触发器 触发器的工作原理及作用

    根据输入信号改变输出状态。把这种在时钟信号触发时才能动作的存储单元电路称为触发器,以区别没有时钟信号控制的
    发表于 12-25 17:09

    晶体管/门电路//触发器解析

    晶体管,门电路触发器的理解
    发表于 01-12 07:55

    图文并茂:D触发器电路设计教程

    触发器敏感的SR,如图所示,现在S = D而R =不是D
    发表于 02-03 08:00

    两个触发器的目的是什么

    2020.3.26_学习笔记两个D触发器​ 最近发现一个问题,代码中会特地的新建一个D触发器用来
    发表于 07-30 06:44

    触发器的工作原理是什么

    的工作原理是什么?的动态特性及其应用有哪些?触发
    发表于 11-03 06:48

    触发器

    触发器1.什么情况要用到?状态不能保持
    发表于 03-10 17:52

    D触发器设计的停电自电路

    电后,与非门的1脚为低电平,故U1A输出端第3脚为高电平,3脚与与非门的12脚相连,故12脚也为高电平。  2、电路刚上电时,D触发器的RD引脚通过电容C1,电阻R2上电复位,使D
    发表于 03-20 15:33