0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于FPGA的呼吸灯设计

CHANBAEK 来源:小小的电子之路 作者:小小的电子之路 2023-09-27 11:43 次阅读

呼吸灯主要是利用PWM的方式,在固定的频率下,通过调整占空比的方式来控制LED灯的亮度的变化,从而实现由暗渐亮再由亮渐暗的过程。

呼吸灯的整个FPGA实现流程主要由四个模块组成,分别是计数器模块,调节值产生模块,计数方向模块以及占空比调节模块组成。

计数器模块:计数器设置为五进制计数器,其输出记为counter;

调节值产生模块:为五进制加减计数器,用以产生占空比调节的比较值,其输出记为compare;

计数方向模块:通过高低电平控制调节值产生模块的计数方向,其输出记为flag;

占空比调节模块:通过比较counter和compare的值来输出不同电平控制LED灯。

图片

counter通过与compare相比较来产生不同的电平,为实现占空比不断变化,即高电平持续时间不断变化,compare需不断变化,但是在一个周期内,compare需固定不变,因此,每当计数器模块溢出一次,compare需随之改变(加1或减1),其方向由flag控制。

(1)若flag=0,counter=4,compare!=3,则加计数;

图片

图片

(2)若flag=0,counter=4,compare=3,则flag置1;

图片

图片

(3)若flag=1,counter=4,compare!=1,则减计数;

图片

图片

(4)若flag=1,counter=4,compare=1,则flag置0.

图片

图片

从最终的实现结果可以看出,输出信号的占空比在不断变化,实现了设计要求。需要注意的是,为了使呼吸灯的实验现象便于观察,需要适当延长计数器的计数周期。

图片

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21328

    浏览量

    593271
  • led灯
    +关注

    关注

    22

    文章

    1554

    浏览量

    107036
  • PWM
    PWM
    +关注

    关注

    114

    文章

    4901

    浏览量

    209963
  • 计数器
    +关注

    关注

    32

    文章

    2126

    浏览量

    93007
  • 呼吸灯
    +关注

    关注

    9

    文章

    107

    浏览量

    42483
收藏 人收藏

    评论

    相关推荐

    #硬声创作季 #FPGA 明德扬 FPGA至简设计原理与应用52_1_PWM呼吸(PPT讲解)-3

    fpga呼吸
    水管工
    发布于 :2022年09月20日 12:30:33

    基于CPLD/FPGA呼吸效果实现(附全部verilog源码)(by 大飞)

    你的CPLD/FPGA里吧,瞬间实现你盼望已久的呼吸效果,赋予她(LED)生命的气息! 2. 晒干货例化时,仅需要按您的需求修改代码中带★号的参数ps. 只有一处需要修改哦亲
    发表于 11-19 22:58

    xilinx ISE 中异步FIFO ip的使用、仿真及各信号的讨论(图文教程)

    传输协议b2s (附全部verilog源码)2.脉冲信号正常与否的判断(附全部verilog源码)3.基于CPLD/FPGA呼吸效果实现(附全部verilog源码)4.“同频异宽”脉冲的选择(附
    发表于 07-16 15:09

    雾盈FPGA笔记之(一):基于FPGA呼吸简单实验程序(Verilog)

    ` 本帖最后由 西疆木 于 2016-8-29 10:37 编辑 基于FPGA呼吸简单实验程序(Verilog)雾盈 2016-7-26 1.呼吸
    发表于 07-28 19:03

    FPGA呼吸

    基于FPGA呼吸一、目标1、占空比(pwm)的理解。2、计数器的应用。3、分频的应用。二、要求四个LED从暗逐渐变亮,然后从亮逐渐变暗形成呼吸
    发表于 10-26 21:01

    基于CPLD/FPGA呼吸效果实现_呼吸源码_明德扬资料

    特效呼吸1功能概述顾名思义,特效呼吸是指在电路控制之下,LED灯光完成由灭到亮、由亮到灭的逐渐变化,感觉像是在呼吸一样。最原始的表现形式
    发表于 08-02 18:03

    至芯昭哥带你学FPGAFPGA_100天之旅_呼吸

    至芯昭哥带你学FPGAFPGA_100天之旅_呼吸
    发表于 08-22 09:54

    【雨的FPGA笔记】基础实践-------呼吸设计和实现

    本帖最后由 拾光333 于 2020-1-12 19:54 编辑 呼吸设计和实现内容一个周期为1s的呼吸,从熄灭到完全点亮一共需要1s。将1s分为1000个1ms作为
    发表于 01-12 19:50

    如何制作呼吸

    Stduino小白练习第三弹--制作呼吸2019/11/29 星期五作者:Astilbe问题:如何利用Stduino制作一个呼吸。我们之前已经学习过如何制作闪烁
    发表于 01-05 06:51

    STM32呼吸的原理是什么

    前段时间学习stm32的时候就做过呼吸实验,浏览了一些博客后,做下总结呼吸原理其实是利用pwm(脉冲宽度调节)技术,通过改变占空比来实现呼吸
    发表于 02-21 07:26

    基于FPGA呼吸灯设计

    呼吸灯的效果是LED灯在一段时间内从完全熄灭的状态逐渐变到最亮,再在同样的时间段内逐渐达到完全熄灭的状态。这里我们需要实现1s内实现从灭到亮,1s内从亮到灭。
    的头像 发表于 06-27 17:39 863次阅读
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>呼吸</b>灯设计