0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何改变Port端口的交叉参考显示?

Altium 来源:Altium 2023-09-15 11:11 次阅读

我想在原理图中添加Port端口参考,但为了节省时间,我又不想包含区域或栅格参考。

通过以下命令为原理图上的端口添加交叉参考详细信息

Reports ► Port Cross Reference ► Add to Project

您可以通过以下方式,在优选设置的Port Cross References区域中设置格式:

Preferences ► Schematic ► General ► Port Cross References

如需删除区域或X和Y坐标,请将Location Style下拉选项列表设置为“None”。您还可以对Sheet Style进行设置(包括Name、Number或None)。

在优选设置中选择OK后,运行以下命令以查看做出的更改:

Reports ► Port Cross Reference ► Update on Project

编辑:黄飞

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 原理图
    +关注

    关注

    1268

    文章

    6180

    浏览量

    225699
  • 端口
    +关注

    关注

    4

    文章

    820

    浏览量

    31588
  • Port
    +关注

    关注

    1

    文章

    20

    浏览量

    13139

原文标题:【Q&A】如何改变Port端口的交叉参考显示?

文章出处:【微信号:AltiumChina,微信公众号:Altium】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    并口示波器i测试软件Port 1.0

    ;nbsp;   在设置面板中,“数据读入时间间隔”为读入时间的设定。“并行打印端口设置”为显示微机中存在的可用打印端口,并可以设定本软件当前要使用的端口(如只有一
    发表于 10-27 09:23

    ENA与PNA系列之间是否存在SCPI命令的交叉引用

    重用我们为PNA所做的一些LabVIEW代码。如果没有,是否有*交叉引用*显示哪些命令不同/相同?我意识到设备的功能各不相同,因此某些cmd不适用。 以上来自于谷歌翻译 以下为原文We
    发表于 10-09 09:39

    怎么将特定的PORT Pins作为输出

    嗨,我是一个新的程序员在PIC24F微,我想问我如何设置特定的端口引脚作为输出,而不改变其他端口上的端口。例如,如果我想要以下配置:TrISB位6至13需要输出,所有其他位保持不变。T
    发表于 02-12 09:37

    改变位置USB插件时软件显示错误

    亲爱的,我有关于通过USB端口进行编程控制的问题,当我改变位置USB插件因软件显示错误因为位置USB indirec!请你从软件中获取关于修复端口的建议Best respectbadb
    发表于 03-29 10:29

    如何改变IFCONFIG寄存器值将操作模式从端口模式转变为从FIFO模式?

    你好。我尝试将操作模式从端口模式转变为从FIFO模式。当我接通电源时,FX2LP是端口模式,然后由FPGA的Tror改变为从FIFO模式。我只能改变IFCONFIG寄存器值吗?我不确定
    发表于 07-03 09:30

    PORT MATCH资源检测P0X输入的1KHZ方波

    中断实验2输入方波(上一篇有写)用端口匹配检测配置端口和外设代码用PORT MATCH资源检测P0X输入的1KHZ方波,再从另一个Px.x口输出同样波形。输入方波(上一篇有写)用端口
    发表于 01-11 07:46

    如何去解决***写端口改变状态的问题

    为什么***写端口会不改变其状态呢?如何去解决***写端口改变状态的问题?
    发表于 02-24 07:09

    端口RAM-Dual Port RAM

    independently running processors. Dual port memory provides a common memory accessible to both processors that can be used to share and transmit dat
    发表于 04-24 09:49 7299次阅读
    双<b class='flag-5'>端口</b>RAM-Dual <b class='flag-5'>Port</b> RAM

    车载显示设备的输出端口

    车载显示设备的输出端口     
    发表于 01-04 10:09 961次阅读

    什么是Enhanced Port Replicator II

    什么是Enhanced Port Replicator II/III  增强型端口转接器II/III。一种接口设备,使到许多外设的一点连接成为可能,并提供附加的端口和插槽。
    发表于 01-22 14:11 671次阅读

    简单的端口显示、中断综合应用

    简单的端口显示、中断综合应用
    发表于 10-12 17:42 6次下载
    简单的<b class='flag-5'>端口</b>、<b class='flag-5'>显示</b>、中断综合应用

    HFSS端口Wave Port和Lumped Port的介绍

    Wave Port是HFSS中典型的外部端口,这里所说的外部是指只有一侧有场分布,一般都在边界和背景的交界处。外部端口需要通过传输线的方式才能将激励信号加入到结构中,而外部端口通常会定
    发表于 11-22 16:35 2.9w次阅读
    HFSS<b class='flag-5'>端口</b>Wave <b class='flag-5'>Port</b>和Lumped <b class='flag-5'>Port</b>的介绍

    端口网络参数_二端口网络参数的测定

    端口网络,two-port network,是端口数n等于2的多端网络。又称双口。本文主要介绍了二端口网络参数以及二端口网络参数的测定方法
    发表于 12-20 18:57 3.1w次阅读
    二<b class='flag-5'>端口</b>网络参数_二<b class='flag-5'>端口</b>网络参数的测定

    HC32F460写端口改变状态问题

    由于某种原因,使用了华大的单片机,在写LCD的时候,遇到问题,LED数据线是接在A0~A7,使用PORT_SetPortData函数时发现只改变以前是0的Bit,如上一数据发的是01010101
    发表于 01-12 19:02 3次下载
    HC32F460写<b class='flag-5'>端口</b>不<b class='flag-5'>改变</b>状态问题

    Linux内核分析 bind端口选择

    端口选择 继续看inet_csk_get_port函数: 在端口选择前, 先确定当前该socket的“属性”,即是否可以端口复用,是否在TCP_LISTEN状态,以便后面插入到桶队列时
    的头像 发表于 07-31 11:08 603次阅读