0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

什么是时序路径timing path呢?

冬至子 来源:数字后端IC芯片设计 作者:Tao 2023-07-05 14:54 次阅读

今天我们要介绍的时序分析概念是 时序路径Timing Path)。STA软件是基于timing path来分析timing的。那什么是timing path呢?

Timing Path根据起点和终点可以分为以下四种:

  • 由Flip-Flop时钟输入端到Flip-Flop数据输入端,即**reg2reg **path,如下图1
  • 由主要输入到Flip-Flop数据输入,即**in2reg **path,如下图2
  • 由Flip-Flop时钟输入端到主要输出,即**reg2out **path,如下图3
  • 由主要输入到主要输出,即**in2out **path,如下图4

图片

图1

图片

图2

图片

图3

图片

图4

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 时序分析
    +关注

    关注

    2

    文章

    126

    浏览量

    22473
  • STA
    STA
    +关注

    关注

    0

    文章

    51

    浏览量

    18850
  • 时序分析器
    +关注

    关注

    0

    文章

    24

    浏览量

    5225
  • 时序路径
    +关注

    关注

    0

    文章

    12

    浏览量

    1371
收藏 人收藏

    评论

    相关推荐

    FPGA案例之时序路径时序模型解析

    时序路径 典型的时序路径有4类,如下图所示,这4类路径可分为片间路径(标记①和标记③)和片内
    的头像 发表于 11-17 16:41 2816次阅读
    FPGA案例之<b class='flag-5'>时序</b><b class='flag-5'>路径</b>与<b class='flag-5'>时序</b>模型解析

    时序分析的基本概念及常规时序路径的组成

    边沿。 ④ 通常情况下这两个边沿会有一个时钟周期的差别。 2、时序路径Timing path典型时序
    的头像 发表于 11-25 15:27 8704次阅读
    <b class='flag-5'>时序</b>分析的基本概念及常规<b class='flag-5'>时序</b><b class='flag-5'>路径</b>的组成

    FPGA时序约束之时序路径时序模型

    时序路径作为时序约束和时序分析的物理连接关系,可分为片间路径和片内路径
    发表于 08-14 17:50 513次阅读
    FPGA<b class='flag-5'>时序</b>约束之<b class='flag-5'>时序</b><b class='flag-5'>路径</b>和<b class='flag-5'>时序</b>模型

    时序约束】关于设置FALSE PATH

    总得来说,FALSE PATH就是我们在进行时序分析时,不希望工具进行分析的那些路径。一般不需要工具时序分析的路径指的是异步的
    发表于 06-27 06:34

    CPLD仿真时提示path路径问题

    原子哥,问一个CPLD的问题,仿真时提示path路径问题,但是我已经加载了,还是出错是怎么回事的?下面错误提示内容Info: Starting NativeLink simulation
    发表于 04-30 05:20

    Vivado下显示指定路径时序报告的流程

      Vivado运行Report Timing Summary时,只显示各个子项目最差的十条路径,很可能并不包含你最关心的路近,这个时候显示指定路径时序报告就显得很重要了,下面就简单
    发表于 01-15 16:57

    Timing Groups and OFFSET Const

    Timing Groups and OFFSET Constraints: •Use the Constraints Editor to create groups of path
    发表于 01-11 08:55 4次下载

    Path-Specific Timing Constrain

    Path-Specific Timing Constraints:Constraining Between Risingand Falling Clock Edges•
    发表于 01-11 08:56 10次下载

    基于FALSE PATH的设置

    总得来说,FALSE PATH就是我们在进行时序分析时,不希望工具进行分析的那些路径。一般不需要工具时序分析的路径指的是异步的
    发表于 09-19 10:46 0次下载
    基于FALSE <b class='flag-5'>PATH</b>的设置

    详细介绍时序基本概念Timing arc

    时序分析基本概念介绍——Timing Arc
    的头像 发表于 01-02 09:29 2.4w次阅读
    详细介绍<b class='flag-5'>时序</b>基本概念<b class='flag-5'>Timing</b> arc

    静态时序分析基础与应用

    STA的简单定义如下:套用特定的时序模型(Timing Model),针对特定电路分析其是否违反设计者给定的时序限制(Timing Constraint)。以分析的方式区分,可分为
    发表于 04-03 15:56 10次下载

    如何判断路径timing exception约束

    随着设计复杂度和调用IP丰富度的增加,在调试时序约束的过程中,用户常常会对除了自己设定的约束外所涉及的繁杂的时序约束感到困惑而无从下手。举个例子,我的XDC里面并没有指定set_false_path
    的头像 发表于 08-02 08:03 1070次阅读
    如何判断<b class='flag-5'>路径</b>的<b class='flag-5'>timing</b> exception约束

    介绍的时序分析基本概念PBA分析模式

    和GBA模式相比,PBA要更加乐观,因为它会计算具体哪些路径是实际的路径。如下图中的timing path
    的头像 发表于 07-03 15:27 1187次阅读
    介绍的<b class='flag-5'>时序</b>分析基本概念PBA分析模式

    时序分析基本概念介绍—Timing Arc

    今天我们要介绍的时序基本概念是Timing arc,中文名时序弧。这是timing计算最基本的组成元素,在昨天的lib库介绍中,大部分时序
    的头像 发表于 07-06 15:00 1587次阅读
    <b class='flag-5'>时序</b>分析基本概念介绍—<b class='flag-5'>Timing</b> Arc

    时序分析基本概念介绍&lt;Critical Path&gt;

    今天我们要介绍的时序分析概念是Critical Path。全称是关键路径
    的头像 发表于 07-07 11:27 721次阅读
    <b class='flag-5'>时序</b>分析基本概念介绍&lt;Critical <b class='flag-5'>Path</b>&gt;