0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何在FPGA中实现RGB转HSV

FPGA开源工坊 来源:FPGA开源工坊 2023-07-02 11:49 次阅读

HSV色彩空间相对于RGB色彩空间更适合做颜色追踪,分割颜色等。那么RGB色彩空间要怎么转变为HSV色彩空间呢。

转换公式如下:

7a9fcc5a-1825-11ee-962d-dac502259ad0.png

需要注意的是在OpenCV中为了显示HSV色彩空间的内容会将H的值除以2,S的值和V的值是要乘以255。

FPGA中实现RGB转HSV的话,上面公式中第一步除以255的归一化是可以不做的。因为在H和S的计算中255可以约掉的,如下所示。而在V的值需要乘以255来显示,所以也可以不除以255.

7ad93fda-1825-11ee-962d-dac502259ad0.png

如R,G,B为169 152 133的值计算过程如下:

7af3848a-1825-11ee-962d-dac502259ad0.png

定义如下

7b0e3816-1825-11ee-962d-dac502259ad0.png

在计算中需要使用除法器:

7b30036a-1825-11ee-962d-dac502259ad0.png

因为8bit的整数,8bit小数的有符号数,所以数据位宽为17bit。

仿真结果如下:

7b462d48-1825-11ee-962d-dac502259ad0.png

可以看到ref和dut之间有误差,这个是因为计算过程中采用了8bit的定点小数造成的,如果小数位宽扩大,那么可以减少误差。

仿真用的计分板:

7b670a68-1825-11ee-962d-dac502259ad0.png

提供SpinalHDL的源码:如果需要Verilog源码可以私聊

import spinal.core._
import spinal.lib._


class Rgb2hsv extends Component {
    val io = new Bundle {
        val dataIn = slave(FrameInterface(24))
        val dataOut = master(FrameInterface(24))
    }


    val R, G, B = UInt(8 bits)
    val RMax = Reg(Bool()) init False
    val GMax = Reg(Bool()) init False
    val BMax = Reg(Bool()) init False
    val RMaxDelay = Delay(RMax, 12, init = False)
    val GMaxDelay = Delay(GMax, 12, init = False)
    val BMaxDelay = Delay(BMax, 12, init = False)
    val CMax = Reg(UInt(8 bits))
    val CMin = Reg(UInt(8 bits))
    val derta = UInt(8 bits)


    val calcH = new Area {
        val dertaEq0 = Delay(derta === 0, 12)
        val G_B = RegNext(((U"1'b0" @@ G).asSInt - (U"1'b0" @@ B).asSInt) @@ S"8'd0")
        val B_R = RegNext(((U"1'b0" @@ B).asSInt - (U"1'b0" @@ R).asSInt) @@ S"8'd0")
        val R_G = RegNext(((U"1'b0" @@ R).asSInt - (U"1'b0" @@ G).asSInt) @@ S"8'd0")
        val div1 = new Div(17, 8)
        val div2 = new Div(17, 8)
        val div3 = new Div(17, 8)
        val G_B_derta = Bits(17 bits)
        val B_R_derta = Bits(17 bits)
        val R_G_derta = Bits(17 bits)
        val G_B_derta_add = Reg(SInt(17 bits))
        val B_R_derta_add = Reg(SInt(17 bits))
        val R_G_derta_add = Reg(SInt(17 bits))
        val G_B_derta_add_mul = Reg(SInt(16 bits))
        val B_R_derta_add_mul = Reg(SInt(16 bits))
        val R_G_derta_add_mul = Reg(SInt(16 bits))
        val H_D = Reg(UInt(9 bits))
        val H = Reg(UInt(8 bits))
        div1.driverFrom(G_B.asBits, (U"1'b0" @@ derta @@ U"8'b0").asBits, RMax, G_B_derta)
        div2.driverFrom(B_R.asBits, (U"1'b0" @@ derta @@ U"8'b0").asBits, GMax, B_R_derta)
        div3.driverFrom(R_G.asBits, (U"1'b0" @@ derta @@ U"8'b0").asBits, BMax, R_G_derta)


        G_B_derta_add := G_B_derta.asSInt
        B_R_derta_add := B_R_derta.asSInt + 2 @@ S"8'b0"
        R_G_derta_add := R_G_derta.asSInt + 4 @@ S"8'b0"


        val mul60 = AFix.S(8 exp, -8 exp)
        val afixG_B_derta_add = AFix.S(8 exp, -8 exp)
        val afixB_R_derta_add = AFix.S(8 exp, -8 exp)
        val afixR_G_derta_add = AFix.S(8 exp, -8 exp)
        afixG_B_derta_add := G_B_derta_add
        afixB_R_derta_add := B_R_derta_add
        afixR_G_derta_add := R_G_derta_add
        mul60 := S"9'd60" @@ S"8'd0"
        G_B_derta_add_mul := (mul60 * afixG_B_derta_add).roundHalfUp(0).asSInt().resized
        B_R_derta_add_mul := (mul60 * afixB_R_derta_add).roundHalfUp(0).asSInt().resized
        R_G_derta_add_mul := (mul60 * afixR_G_derta_add).roundHalfUp(0).asSInt().resized


        //  val H = SInt(9 bits)
        (R, G, B) := io.dataIn.data
        when(R >= G && R >= B) {
            CMax := R
            RMax := True
            GMax := False
            BMax := False
        } elsewhen (G >= R && G >= B) {
            CMax := G
            RMax := False
            GMax := True
            BMax := False
        } otherwise {
            CMax := B
            RMax := False
            GMax := False
            BMax := True
        }


        when(R <= G && R <= B) {
            CMin := R
        } elsewhen (G <= R && G <= B) {
            CMin := G
        } otherwise {
            CMin := B
        }
        derta := CMax - CMin


        when(dertaEq0) {
            H_D := 0
        } elsewhen (RMaxDelay) {
            when(G_B_derta_add_mul.sign) {
                H_D := (G_B_derta_add_mul +^ 360).asUInt.resized
            } otherwise {
                H_D := G_B_derta_add_mul(8 downto 0).asUInt.resized
            }


        } elsewhen (GMaxDelay) {
            when(B_R_derta_add_mul.sign) {
                H_D := (B_R_derta_add_mul + 360).asUInt.resized
            } otherwise {
                H_D := B_R_derta_add_mul(8 downto 0).asUInt.resized
            }
        } elsewhen (BMaxDelay) {
            when(R_G_derta_add_mul.sign) {
                H_D := (R_G_derta_add_mul + 360).asUInt.resized
            } otherwise {
                H_D := R_G_derta_add_mul(8 downto 0).asUInt.resized
            }
        }
        H := (H_D(8 downto 1) + H_D(0).asUInt)


    }


    val calcS = new Area {
        val S_Div = Bits(17 bits)
        val div = new Div(17, 8)
        div.driverFrom((U"1'd0" @@ derta @@ U"8'd0").asBits, (U"1'd0" @@ CMax @@ U"8'd0").asBits, RegNext(io.dataIn.valid), S_Div)
        val mul255 = AFix.S(8 exp, -8 exp)
        val afixS = AFix.S(8 exp, -8 exp)
        afixS := S_Div.asSInt
        mul255 := S"9'd255" @@ S"8'd0"
        val afix_mul = RegNext(afixS * mul255)
        val afix_mul_r = RegNext(RegNext(afix_mul.roundHalfUp(0)).asUInt())
        val S = Reg(UInt(8 bits))
        val CMaxEq0 = Delay(CMax === 0, 13)
        when(CMaxEq0){
            S := 0
        } otherwise{
            S := afix_mul_r(7 downto 0)
        }
    }


    val calcV = new Area {
        val V = Delay(CMax, 14)
    }


    io.dataOut.valid := Delay(io.dataIn.valid, 15, init = False)
    io.dataOut.data := (calcH.H @@ calcS.S @@ calcV.V).asBits


}


object Rgb2hsv extends App {
    SpinalVerilog(new Rgb2hsv)
}

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593199
  • 图像处理
    +关注

    关注

    26

    文章

    1224

    浏览量

    55823
  • RGB
    RGB
    +关注

    关注

    4

    文章

    763

    浏览量

    57407
  • HSV
    HSV
    +关注

    关注

    0

    文章

    10

    浏览量

    2567

原文标题:FPGA图像处理--RGB转HSV

文章出处:【微信号:FPGA开源工坊,微信公众号:FPGA开源工坊】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    #matlab hsv2rgb-转换HSV值为RGB颜色表

    matlabEDA工具RGB
    电子技术那些事儿
    发布于 :2022年09月25日 11:40:55

    #matlab rgb2hsv-转换RGB值为HSV颜色空间

    matlabEDA工具RGB
    电子技术那些事儿
    发布于 :2022年09月26日 22:51:54

    【创龙TMS320C665x开发板试用】算法(四):HSV颜色空间

    HSV颜色空间的模型对应于圆柱坐标系的一个圆锥形子集,圆锥的顶面对应于V=1. 它包含RGB模型的R=1,G=1,B=1 三个面,所代表的颜色较亮。色彩H由绕V轴的旋转角给定。红色
    发表于 04-16 09:40

    基于FPGA的肤色识别算法实现

    `大家好,给大家介绍一下,这是基于FPGA的肤色识别算法实现。我们今天这篇文章有两个内容一是实现基于FPGA的彩色图片灰度
    发表于 10-28 08:48

    何在FPGA实现过零检测器?

    大家好!我想知道如何在FPGA中使用VHDL实现过零检测器。所以我想实现一个数字常数小数鉴别器。 firt部分提供了双极性信号,但我想知道如何在
    发表于 01-29 08:16

    什么是CVSD?其算法分析如何在FPGA实现

    的不足,同时也方便在现场可编程门阵列(FPGA)增加一些其他相关的应用功能,因此在FPGA实现CVSD语音编译码调制功能的前景将是非常广
    发表于 08-07 07:04

    何在FPGA实现实时时钟或时间和日期计数器

    嗨,我是Xilinx FPGA的新手。我该如何在FPGA实现实时时钟或时间和日期计数器?Xilinx是否为Artix 7提供任何RTC核心
    发表于 05-22 12:41

    何在Virtex-7 FPGA实现动态部分配置

    嗨,如何在Virtex-7 FPGA实现动态部分配置?问候,Suresh Palani
    发表于 05-29 11:30

    何在没有AXI接口的kintex 7 FPGA实现以太网?

    何在没有AXI接口的kintex 7 FPGA实现以太网?请帮帮我
    发表于 08-18 09:59

    何在低端FPGA实现DPA的功能?

    FPGA,动态相位调整(DPA)主要是实现LVDS接口接收时对时钟和数据通道的相位补偿,以达到正确接收的目的。那么该如何在低端FPGA
    发表于 04-08 06:47

    何在FPGA利用低频源同步时钟实现LVDS接收字对齐呢?

    在串行数据传输的过程,如何在FPGA利用低频源同步时钟实现LVDS接收字对齐呢?
    发表于 04-08 06:39

    请问在FPGA上怎么实现RGB转换到YCbCr?

    本文推导出一种适合在FPGA实现RGB到YCbCr。颜色空间变换的新算法,采用单片FPGA完成电路设计,利用FPGA内嵌DSP核
    发表于 04-29 06:57

    浅析基于labview的RGBHSV转换

    在labview的应用环境中,通过C语言的方式实现RGBHSV的转换。
    发表于 11-15 16:47 18次下载

    RGB颜色空间与RGB三色中色调、饱和度、亮度之间的关系

    本文章会详细的介绍RGB颜色空间与RGB三色中色调、饱和度、亮度之间的关系,最后会介绍HSV颜色空间!
    的头像 发表于 07-01 10:55 7434次阅读

    如何利用OpenCV进行颜色分类 rgbhsv的区别

    如果光源不稳定,光照变化较大(存在阴影或者亮斑),则利用HSV通道检测就比RGB检测高效得多。光照变化较大时,对RGB三个色道的参数影响都很大,在实际调参过程中会显得非常麻烦,而且效果不理想。
    发表于 08-07 09:52 809次阅读
    如何利用OpenCV进行颜色分类 <b class='flag-5'>rgb</b>和<b class='flag-5'>hsv</b>的区别