0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

UVM里的6个常见参数介绍分析

FPGA学姐 来源:FPGA学姐 2023-06-06 12:33 次阅读

1、指定测试用例(一般一个用例就是一个类派生于uvm_test_base)

 +UVM_TESTNAME=
例如 +UVM_TESTNAME=my_case0

2、设置打印(`uvm_info)在命令行中设置冗余度阈值

 +UVM_VERBOSITY=
例如:
 +UVM_VERBOSITY=UVM_HIGH

UVM预先定义了六个详细程度; UVM_NONE到UVM_DEBUG。这些级别只不过是整数枚举值

098102d6-0421-11ee-90ce-dac502259ad0.png

如果我们不指定任何冗余度阈值,则UVM将默认使用UVM_MEDIUM。这意味着将打印所有带有UVM_NONE,UVM_LOW和UVM_MEDIUM的消息,但是带有UVM_HIGH,UVM_FULL和UVM_DEBUG的消息不会。

set_report_verbosity_level会对某个component内所有的uvm_info宏显示的信息产生影响。

env.i_agt.set_report_verbosity_level_hier(UVM_HIGH);

UVM_ERROR到达一定数量结束仿真

当uvm_fatal出现时,表示出现了致命错误,仿真会马上停止。UVM同样支持UVM_ ERROR达到一定数量时结束仿真。例,如果出现了大量的UVM_ERROR,根据这些错误已经可以确定bug所在了,再继续仿真下去意义已经不大,此时就可以结束仿真,而不必等到所有的objection被撤销。(在base_test里设置error数量)

文件:src/ch3/section3.4/3.4.3/base_test.sv
21 function void base_test::build_phase(uvm_phase phase);
22   super.build_phase(phase);
23   env  =  my_env::type_id::create("env", this);
24   set_report_max_quit_count(5);
25 endfunction

3、除了在代码中使用set_max_quit_count设置外,还可以在命令行中设置退出阈值

+UVM_MAX_QUIT_COUNT=6,NO

4、设置全局的超时时间

 +UVM_TIMEOUT=,~
例如
 +UVM_TIMEOUT="300ns, YES"

5、根据严重性设置不同的日志文件

env.i_agt.set_report_severity_file_hier(UVM_INFO,    info_log);
env.i_agt.set_report_severity_file_hier(UVM_WARNING, warning_log);
env.i_agt.set_report_severity_file_hier(UVM_ERROR,   error_log);
env.i_agt.set_report_severity_file_hier(UVM_FATAL,   fatal_log);
env.i_agt.set_report_severity_action_hier(UVM_INFO, UVM_DISPLAY| UVM_LOG);
env.i_agt.set_report_severity_action_hier(UVM_WARNING, UVM_DISPLAY| UVM_LOG);
env.i_agt.set_report_severity_action_hier(UVM_ERROR, UVM_DISPLAY| UVM_COUNT|UVM_LOG);
env.i_agt.set_report_severity_action_hier(UVM_FATAL, UVM_DISPLAY| UVM_EXIT | UVM_LOG);

编辑:黄飞

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • UVM
    UVM
    +关注

    关注

    0

    文章

    181

    浏览量

    18964

原文标题:UVM里的常见参数

文章出处:【微信号:FPGA学姐,微信公众号:FPGA学姐】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    IC验证"为什么要学习UVM呢"

    与设计不分家”。甚至目前在一些IC公司,依然存在着同一人兼任设计人员与 验证人员的情况。验证与设计只是从不同的角度来做同一件事情而已。验证工程师应该更多地学习些设计的知识,从项目的早期 就参与进去,而
    发表于 12-01 15:09

    IC验证之UVM常用宏汇总分析(四)

    : +define+MACRO 或者: +define+MACRO=100扩展寄存器模型中的数据位宽:`define UVM_REG_DATA_WIDTH 128扩展寄存器模型中的地址位宽:`define
    发表于 12-02 15:24

    IC验证"一简单的UVM验证平台"是如何搭建的(六)

    uvm_driver等类名。 第24和25行定义一my_driver的实例并将其实例化。注意这里调用new函数时,其传入的名字参数为drv,前文介绍
    发表于 12-04 15:48

    UVM交互式调试库介绍

    什么是UVM交互式调试库
    发表于 12-17 07:34

    数字IC验证之“什么是UVM”“UVM的特点”“UVM提供哪些资源”(2)连载中...

    原文链接:https://zhuanlan.zhihu.com/p/345775995大家好,我是一哥,上章内容主要讲述两内容,芯片验证以及验证计划。那本章我们主要讲述的内容有介绍什么是uvm
    发表于 01-21 16:00

    数字IC验证之“典型的UVM平台结构”(3)连载中...

      大家好,我是一哥,上章内容我们介绍什么是uvmuvm的特点以及uvm为用户提供了哪些资源?  本章内容我们来看一看一典型的
    发表于 01-22 15:32

    数字IC验证之“搭建一可以运行的uvm测试平台”(5)连载中...

      大家好,我是一哥,上章介绍了构成uvm测试平台的主要组件?最后,我们将一典型的uvm平台进行最简化,从本章我们开始搭建一可以运行的
    发表于 01-26 10:05

    什么是uvmuvm的特点有哪些呢

    大家好,我是一哥,上章内容我们介绍什么是uvmuvm的特点以及uvm为用户提供了哪些资源?本章内容我们来看一看一典型的
    发表于 02-14 06:46

    谈谈UVM中的uvm_info打印

    \_file, \`uvm\_line)。当uvm\_report\_enabled(xxx)函数返回为1时,才会执行uvm\_report\_info(xxx)。  参数和变量
    发表于 03-17 16:41

    UVM中seq.start()和default_sequence执行顺序

    下src/base/uvm_task_phase.svh文件。为方便分析,我截图如下。在uvm_task_phase类execute(xxx)函数的第148行和150行确定了seq1
    发表于 04-04 17:15

    我的第一UVM代码——Hello world

    Ctrl-V!) 几点说明: 为了使用UVM库里的函数和宏定义,需要先import uvm_pkg和include uvm_macros。 在testbench的顶层(module tb)
    发表于 11-03 10:18

    UVM1.1的应用指南及源代码分析资料概述

    本章第一节将大体介绍一下感性意义上的UVM,这里不会讲的很详细,因为关于UVM的历史在网上已经有太多的资料第二节讲述验证平台的组成,这里也只是介绍一个轮廓。第三节开始则会教我们一步一步
    发表于 07-01 08:00 69次下载
    <b class='flag-5'>UVM</b>1.1的应用指南及源代码<b class='flag-5'>分析</b>资料概述

    一文详解UVM设计模式

    本篇是对UVM设计模式 ( 二 ) 参数化类、静态变量/方法/类、单例模式、UVM_ROOT、工厂模式、UVM_FACTORY[1]中单例模式的补充,
    的头像 发表于 08-06 10:38 898次阅读
    一文详解<b class='flag-5'>UVM</b>设计模式

    行为型设计模式在UVM中的应用

    接下来介绍行为型设计模式在UVM中的应用。
    的头像 发表于 08-09 14:01 403次阅读
    行为型设计模式在<b class='flag-5'>UVM</b>中的应用

    UVM设计中的sequence启动方式有哪几种呢?

    本篇介绍UVM中的sequence,这是UVM中最基础的部分。对于前面介绍uvm_callback,
    的头像 发表于 08-17 10:07 1514次阅读
    <b class='flag-5'>UVM</b>设计中的sequence启动方式有哪几种呢?