0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

verilog中的task用法介绍

冬至子 来源:Andy的ICer之路 作者:AndyICer 2023-06-05 16:21 次阅读

任务就是一段封装在“task-endtask”之间的程序。任务是通过调用来执行的,而且只有在调用时才执行,如果定义了任务,但是在整个过程中都没有调用它,那么这个任务是不会执行的。调用某个任务时可能需要它处理某些数据并返回操作结果,所以任务应当有接收数据的输入端和返回数据的输出端。另外,任务可以彼此调用,而且任务内还可以调用函数。

**1.任务定义 **

任务定义的形式如下:

task task_id; 
[declaration] 
procedural_statement 
endtask

其中,关键词 task 和 endtask 将它们之间的内容标志成一个任务定义, task 标志着一个任务定义结构的开始;task_id 是任务名;可选项 declaration 是端口声明语句和变量声明语句,任务接收输入值和返回输出值就是通过此处声明的端口进行的;procedural_statement是一段用来完成这个任务操作的过程语句,如果过程语句多于一条,应将其放在语句块内;endtask 为任务定义结构体结束标志。下面给出一个任务定义的实例。

:定义一个任务。 
task task_demo;                //任务定义结构开头,命名为 task_demo 
input  [7:0] x,y;           //输入端口说明 
output [7:0] tmp;           //输出端口说明 


if(x >y)                  //给出任务定义的描述语句 
tmp = x; 
else 
tmp = y;
endtask 
上述代码

定义了一个名为“task_demo”的任务,求取两个数的最大值。在定义任务时,有下列六点需要注意:

(1)在第一行“task”语句中不能列出端口名称;

(2)任务的输入、输出端口和双向端口数量不受限制,甚至可以没有输入、输出以及双向端口。

(3)在任务定义的描述语句中,可以使用出现不可综合操作符合语句(使用最为频繁的就是延迟控制语句) ,但这样会造成该任务不可综合。

(4)在任务中可以调用其他的任务或函数,也可以调用自身。

(5)在任务定义结构内不能出现 initial和 always过程块。

(6)在任务定义中可以出现“disable 中止语句” ,将中断正在执行的任务,但其是不可综合的。当任务被中断后,程序流程将返回到调用任务的地方继续向下执行。

**2.任务调用 **

虽然任务中不能出现 initial 语句和 always 语句语句, 但任务调用语句可以在 initial 语句和 always 语句中使用,其语法形式如下:task_id[(端口1, 端口 2, ........, 端口 N)];

其中 task_id是要调用的任务名,端口 1、端口 2,…是参数列表。参数列表给出传入任务的数据(进入任务的输入端)和接收返回结果的变量(从任务的输出端接收返回结果) 。任务调用语句中,参数列表的顺序必须与任务定义中的端口声明顺序相同。任务调用语句是过程性语句,所以任务调用中接收返回数据的变量必须是寄存器类型。下面给出一个任务调用实例。

例:通过 Verilog HDL 的任务调用实现一个 4 bit全加器。

module EXAMPLE (A, B, CIN, S, COUT); 

input [3:0] A, B; 
input CIN; 
output [3:0] S; 
output COUT; 

reg [3:0] S; 
reg COUT; 
reg [1:0] S0, S1, S2, S3; 

task ADD; 

input A, B, CIN; 
output [1:0] C; 

reg [1:0] C; 
reg S, COUT; 

begin
S = A ^ B ^ CIN; 
COUT = (A&B) | (A&CIN) | (B&CIN); 
C = {COUT, S}; 
end 
endtask 

always @(A or B or CIN) begin 
ADD (A[0], B[0], CIN, S0); 
ADD (A[1], B[1], S0[1], S1); 
ADD (A[2], B[2], S1[1], S2); 
ADD (A[3], B[3], S2[1], S3); 
S = {S3[0], S2[0], S1[0], S0[0]}; 
COUT = S3[1]; 
end 
endmodule

在调用任务时,需要注意以下几点:

(1)任务调用语句只能出现在过程块内;

(2)任务调用语句和一条普通的行为描述语句的处理方法一致;

(3)当被调用输入、输出或双向端口时,任务调用语句必须包含端口名列表,且信号端口顺序和类型必须和任务定义结构中的顺序和类型一致。需要说明的是,任务的输出端口必须和寄存器类型的数据变量对应。

(4)可综合任务只能实现组合逻辑,也就是说调用可综合任务的时间为“0” 。而在面向仿真的任务中可以带有时序控制,如时延,因此面向仿真的任务的调用时间不为“0” 。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5032

    浏览量

    117746
  • Verilog
    +关注

    关注

    28

    文章

    1327

    浏览量

    109309
  • 全加器
    +关注

    关注

    10

    文章

    59

    浏览量

    28108
  • VerilogHDL
    +关注

    关注

    2

    文章

    39

    浏览量

    18981
  • ADD
    ADD
    +关注

    关注

    1

    文章

    20

    浏览量

    9293
收藏 人收藏

    评论

    相关推荐

    verilog关于task的调用问题

    在夏宇闻老师的教程里,关于EEPROM仿真的代码,EEPROM_WR有调用shift8_out,shift8_in等任务, 这些任务都是以状态机来实现的。请问这些任务在调用时开始执行,那么什么时候
    发表于 03-03 11:22

    转载---verilogtask和function的区别

    ,能计算多个结果值,结 函数通过一个返回一个值来响应输入信号的值。果值只能通过被调用的任务的输出端口输出或函数不能有wire型变量。总线端口送出;任务定义语法:task ;……endtask函数定义
    发表于 03-13 21:53

    Verilog#号的用法请教

    Verilog #号一般用来表示延时的,或者是传递参数。我遇到一个表达式:cnt
    发表于 04-29 12:33

    veriloggenerate语句的用法分享

    generate为verilog的生成语句,当对矢量的多个位进行重复操作时,或者当进行多个模块的实例引用的重复操作时,或者根据参数的定义来确定程序是否应该包含某段
    发表于 12-23 16:59

    介绍“生成”按钮的用法

    当您启动Simulator GUI时,精明的观察者会注意到有三个类似于启动的按钮:“启动”,“生成”和“从图像加载”。本文将主要介绍“生成”按钮的幕后工作,尽管我们将在以下部分简要介绍它的
    发表于 09-15 08:55

    Verilog-HDL实践与应用系统设计

    Verilog-HDL实践与应用系统设计本书从实用的角度介绍了硬件描述语言Verilog-HDL。通过动手实践,体验Verilog-HDL的语法结构、功能等内涵。在前五章,以简单的实例
    发表于 11-14 22:57 146次下载
    <b class='flag-5'>Verilog</b>-HDL实践与应用系统设计

    常用灯头规格及用法介绍

    常用灯头规格及用法介绍 简述常用灯头规格及用法介绍
    发表于 04-19 15:40 56次下载

    MSF及Unicorn的介绍用法

    MSF及Unicorn的介绍用法
    发表于 09-07 15:09 3次下载
    MSF及Unicorn的<b class='flag-5'>介绍</b>及<b class='flag-5'>用法</b>

    简谈FPGA verilog中的task用法

            大家好,又到了每日学习的时间了,今天我们来聊一聊FPGA verilog中的task用法。        任务就是一段封装在“task-endtask”之间的程序。任务
    的头像 发表于 08-09 18:59 4w次阅读

    简谈FPGA verilog中的function用法与例子

    大家好,又到了每日学习的时间了,今天我们来聊一聊FPGA verilog中的function用法与例子。 函数的功能和任务的功能类似,但二者还存在很大的不同。在 Verilog HDL 语法中也存在
    的头像 发表于 08-10 13:42 1.9w次阅读
    简谈FPGA <b class='flag-5'>verilog</b>中的function<b class='flag-5'>用法</b>与例子

    RTA OS系列介绍01-Task

    AUTOSAR OS主要包含Task, ISRs, Events, Resources, Application, Counter, Alarms, Schedule Table等OS对象。后续将对如上提到的八个对象进行分别介绍,本篇
    的头像 发表于 12-21 14:13 1403次阅读

    verilog中的task用法

    任务就是一段封装在“task-endtask”之间的程序。任务是通过调用来执行的,而且只有在调用时才执行,如果定义了任务,但是在整个过程中都没有调用它,那么这个任务是不会执行的。调用某个任务时可能
    的头像 发表于 03-23 15:13 764次阅读

    verilog中function和task的区别

    Verilog中,Function和Task是用于模块化设计和重用代码的两种重要元素。它们允许开发人员将复杂的操作分解为更小的功能单元,并在需要时调用它们。虽然Function和Task在某些方面
    的头像 发表于 02-22 15:40 365次阅读

    verilog task和function区别

    verilog中的task和function都是用于实现模块中的可重复的功能,并且可以接收参数和返回结果。但是它们在编写和使用上有一些区别。下面将详细介绍task和function的区
    的头像 发表于 02-22 15:53 256次阅读

    verilog inout用法与仿真

    Verilog语言是一种硬件描述语言(HDL),用于描述数字逻辑电路和系统。它是一种非常强大且广泛使用的语言,在数字电路设计中扮演着重要的角色。其中, inout 是Verilog中的一种信号类型
    的头像 发表于 02-23 10:15 412次阅读