0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

VCS编译选项:-y及+libext+

sanyue7758 来源:处芯积律 2023-05-29 14:46 次阅读

VCS是一款常见的Verilog编译工具,它提供很多编译选项来控制编译过程及其输出。本文主要介绍以下两个编译选项:

-y

用于指定搜索路径。例如,如果你将一个设计分为若干个不同的模块或模块库,并希望在编译期间找到这些文件,就可以使用-y选项告诉编译器应该去哪里寻找它们。例如,如果你的模块库位于"/path/to/mylib",可以使用以下命令:

vcs -y /path/to/mylib mydesign.v
编译器在编译mydesign时会在"/path/to/mylib"中查找任何需要的文件。

+libext+<.v>+<.svp>

用于指定库扩展名。默认情况下,VCS会假设库的扩展名为".v"。但是,如果你的模块库使用了其他扩展名(例如".sv"或".vh"),那么你需要通过此选项告诉编译器使用正确的扩展名。例如,如果你的模块库使用".svh"作为其扩展名,则可以使用以下命令:

vcs +libext+.svh mydesign.v

这样编译器在编译期间将所有包含库引用的".svh"文件视为库文件。

以上两个选项经常一起使用。例如,如果你有一个模块库,其文件位于"/path/to/mylib",并且使用".sv"作为扩展名,则可以将以下命令用于编译:

vcs -y /path/to/mylib +libext+.sv mydesign.v

编译器在编译过程中会在"/path/to/mylib"中查找任何需要的文件,并将所有包含库引用的".sv"文件视为库文件。

此外,在使用这两个选项时还可以结合使用其他选项来指定编译器的行为。例如:

+incdir+选项:用于指定头文件的搜索路径。与-y选项类似,但是专门用于包含头文件而不是模块库文件。

+define+选项:用于定义预处理器宏。可以通过此选项为代码中的条件编译指令提供值。

+warn=noxxx选项:用于禁用特定的警告信息。例如,"+warn=noDNF"将关闭关于DNF表达式的警告。

如下面的示例:

vcs -y /path/to/mylib +libext+.sv +incdir+/path/to/header +define+DEBUG +warn=nodnf mydesign.v

这将启用以下行为:

编译器将在"/path/to/mylib"中查找任何需要的文件,并将所有包含库引用的".sv"文件视为库文件。

编译器将在"/path/to/header"中查找任何需要的头文件。

编译器将为代码中的"DEBUG"宏设置值。

编译器将关闭关于DNF表达式的警告。

最近使用VIP中遇到“cannot find cell in liblist”的编译错误,所以特别介绍以上的两个选项。如果你在工作中也遇到类似问题,可以先检查一下这两个选项是否指定正确。

全文完,感谢阅读。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 模块
    +关注

    关注

    7

    文章

    2485

    浏览量

    46539
  • Verilog
    +关注

    关注

    28

    文章

    1327

    浏览量

    109309
  • VCS
    VCS
    +关注

    关注

    0

    文章

    78

    浏览量

    9497
  • 编译器
    +关注

    关注

    1

    文章

    1577

    浏览量

    48625

原文标题:VCS编译选项:-y 及+libext+

文章出处:【微信号:处芯积律,微信公众号:处芯积律】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    vcs实用技巧

    VCS编译型verilog仿真器,VCS先将verilog/systemverilog文件转化为C文件,在linux下编译生成的可执行文./simv即可得到仿真结果。
    的头像 发表于 10-25 17:22 544次阅读
    <b class='flag-5'>vcs</b>实用技巧

    VCS仿真指南(第二版).pdf

    VCS-verilog compiled simulator是synopsys公司的产品.其仿真速度相当快,而且支持多种调用方式;使用的步骤和modelsim类似,都要先做编译,再调用仿真.Vcs
    发表于 12-15 10:27

    vcs-mx和vcs的区别在哪里?

    vcs-mx是什么?vcs又是什么?vcs-mx和一般的vcs有什么区别?
    发表于 06-21 08:05

    基于linux系统的VCS使用及仿真说明

    文件。主要命令如下vcs verilog文件 [-y 搜索路径 +libext+.v -debug_all –ncli]其中 []中的选项是可选的。-
    发表于 07-18 16:18

    什么是VCS

    VCS编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全
    发表于 07-28 16:28 1.3w次阅读

    编译器_keil的优化选项问题

    keil编译器的优化选项针对ARM,对STM32编译的一些优化的问题
    发表于 02-25 14:18 3次下载

    基于linux系统实现的vivado调用VCS仿真教程

    VCS-MX的版本,可以混合编译Verilog和VHDL语言 由于在linux系统中个人用户各种权限被限制,导致很多地方无法正常使用软件之间的协调工作。 为了以防万一,在此以个人用户去实现vivado调用VCS仿真。
    的头像 发表于 07-05 03:30 1.1w次阅读
    基于linux系统实现的vivado调用<b class='flag-5'>VCS</b>仿真教程

    DSP编程技巧之编译流程与处理器选项

    重分配方法,这时对编译器特性的熟悉就显得很重要了。 接下来我们就聊一聊DSP编程技巧里面有关编译技巧的一些问题,具体的分类包括编译器的选项、代码的优化、库文件的使用、代码实现标准、实时
    发表于 10-18 09:37 2次下载
    DSP编程技巧之<b class='flag-5'>编译</b>流程与处理器<b class='flag-5'>选项</b>

    判断Linux库文件编译时是否运用-g选项的方法

    判断Linux库文件编译时是否运用-g选项的方法
    的头像 发表于 06-22 08:40 3121次阅读
    判断Linux库文件<b class='flag-5'>编译</b>时是否运用-g<b class='flag-5'>选项</b>的方法

    vcs学习笔记(常用选项/仿真流程/代码覆盖率/综合后仿真/图一乐技巧)

    VCS编译型verilog仿真器,VCS先将verilog/systemverilog文件转化为C文件,在linux下编译生成的可执行文./simv即可得到仿真结果。
    的头像 发表于 05-23 16:04 7903次阅读

    浅谈VCS的两种仿真flow

    几乎所有的芯片设计、芯片验证工程师,每天都在和VCS打交道,但是由于验证环境的统一化管理,一般将不同的编译仿真选项集成在一个文件里,只需要一两个人维护即可。所以大部分人比较少有机会去深入地学习
    的头像 发表于 01-10 11:20 2455次阅读

    EDA仿真:VCS编译Xilinx仿真步骤

    选择VCS,再指定库文件存放的路径;如果VCS的环境变量设置好了,那么会自动跳出Simulator executable path的路径的。
    发表于 03-31 10:21 1097次阅读

    深入浅出编译优化选项(下)

    在《深入浅出编译优化选项(上)》中,我们介绍了如何在IAR Embedded Workbench编译器中进行编译优化等级配置、多文件编译配置
    的头像 发表于 04-21 10:19 784次阅读

    VCS实用技巧分享

    VCS编译型verilog仿真器,VCS先将verilog/systemverilog文件转化为C文件,在linux下编译生成的可执行文./simv即可得到仿真结果。
    的头像 发表于 05-30 09:26 884次阅读
    <b class='flag-5'>VCS</b>实用技巧分享

    VCS 仿真option 解析

    VCS的仿真选项编译(compile-time)选项和运行(run-time)选项编译
    的头像 发表于 01-06 10:19 541次阅读