0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

介绍一些寄生参数提取相关的文件

jf_tpHP8OJR 来源:集成电路设计及EDA教程 2023-05-15 10:58 次阅读

相信做过PR的都知道在抽取RC的时候我们会用到寄生参数提取文件,这里介绍一些寄生参数提取相关的文件。

tf/tech LEF文件

在布局布线过程中,Wire逐渐有了Layer、Length和Width的物理信息。对于早期的PR工具(如Astro),它们会读取工艺文件中的参数信息(如方块电阻、方块电容(对地)等)来计算Wire/Net的Delay:

RESISTANCE RPERSQ value

Specifies the resistance for a square of wire, in ohms per square.

The resistance of a wire can be defined as RPERSQU x wire length/wire width

CAPACITANCE CPERSQDIST value

Specifies the capacitance for each square unit, in picofarads per square micron. This is used to model wire-to-ground capacitance.

TLU文件

我们也可以根据工艺文件来生成TLU电阻电容查找表文件来加快PR工具抽取RC的速度。其实TLU文件已经比较老了,是多年前使用的RC查找表。之前用比较老的180 nm工艺库的时候貌似还没有TLU+文件,用Astro做PR搭配TLU抽Net的RC,流片出来测试也没有问题,毕竟0.18的工艺已经蛮老了…

之后再用0.18工艺去流片的时候发现标准单元库已经发生了挺大的变化了,不但有了TLU+文件,居然也用了Tapless工艺…普及的这么快的么,就连这么老的工艺都用了Tapless…

为了跟上时代步伐(其实是比较先进的工艺比如40 nm、28 nm等已经不太支持Astro了,如果要用的话方法Flow会非常麻烦,由其是MCMM…)

TLU+/capTable文件

如前所述,随着工艺进程的推进,衍生出了更加精确的TLU+以及capTable查找表文件,它们可以通过itf和ict文件转换而成,用的命令分别是grdgenxo和generateCapTbl。

另外一个大的变化是,用来抽取寄生RC的这些TLU+或者capTable文件多了很多,也就是RC Corner多了很多(这个之后有介绍)。比如Cbest Cworst RCbest RCworst还有Typical,如果搭配PVT Corner的话,那么组合真的多。难道定scenario的时候就把所有组合都写进去么?当然不是,这样只会加重EDA工具的负担,只要挑选那些重要的Corner的组合就可以了。具体的可以参考ICC教程里面OCV和MCMM的推文。

itf/ict文件

前面提到了itf和ict文件,它们是生成前面介绍的TLU+和capTable,以及后边将要介绍的nxtgrd/qrcTechfile的重要输入文件,这里对它们进行简要的介绍。

itf和ict文件是工艺参数文件,记录了每层材料的电阻率、介电常数、温度系数、最小宽度等详细信息。EDA工具没有直接使用这类文件进行RC的抽取,因为计算量是巨大的,将严重影响EDA工具的速度。为了减少RC抽取过程中的计算量,节省RC抽取的时间,我们一般不直接使用这种文件而是先将其转换成查找表文件(TLU+以及capTable)。PR工具根据导线的长度和宽度查表即可得到电阻电容值,虽然过程中也要计算一些系数的影响(比如温度系数),但计算量已经大幅降低了。

nxtgrd/qrcTechfile文件

为了提高RC提取的精度,我们会使用更加精确的RC提取引擎或者RC提取工具(如StarRC),它们的输入是nxtgrd/qrcTechfile文件。同样,它们也可以有itf和ict文件转换而成,用的命令分别是Techgen和grdgenxo。注意:该转换过程非常耗时!

总结:

文件格式 全拼 说明
tf Technology File 工艺文件
tlu Table Look Up S家PR工具用的net电阻电容查找表
itf Interconnect Technology Format S家工具用到的互联工艺格式文件
ict C家工具用到的互连工艺格式文件
tluplus TLU Plus S家PR工具用的net电阻电容查找表,tlu升级版格式
capTable Cap Table C家PR工具用的net电阻电容查找表
nxtgrd New Xtraction GenericRegression Database StarRC提取寄生参数用的RC model
qrcTechfile QRC Technology File QRC引擎提取寄生参数用的RC model

c3dd1142-f28d-11ed-90ce-dac502259ad0.png

ict转capTable -> generateCapTbl

generateCapTbl是Innovus安装包里面的一个程序,要想使用它,你首先需要安装Innovus,且将Innovus的安装路径加到~/.bashrc或者~/.cshrc里面,可以在Innovus的安装路径下找到它:

[IC@eda bin]$ pwd

/home/opt/Cadence/INNOVUS181/bin

[IC@eda bin]$ l generateCapTbl

lrwxrwxrwx 1 root root 16 Oct 23 2020 generateCapTbl -> .cdnWrapperIndep

实例:

直接在Terminal里面运行generateCapTbl -ict xxx -lef yyy -output zzz即可。

generateCapTbl-ictqrc_40LL_1P8M_6Ic_2TMc_ALPA1_CMIN.ict
-lef/home/pdk/40nmIOandSCC/SCC40NLL_HDC40_HVT_V0.1/SCC40NLL_HDC40_HVT_V0p1/lef/tf/scc40nll_8lm_2tm.lef
-outputqrc_40LL_1P8M_6Ic_2TMc_ALPA1_CMIN.capTbl


generateCapTbl-ictqrc_40LL_1P8M_6Ic_2TMc_ALPA1_CMAX.ict
-lef/home/pdk/40nmIOandSCC/SCC40NLL_HDC40_HVT_V0.1/SCC40NLL_HDC40_HVT_V0p1/lef/tf/scc40nll_8lm_2tm.lef
-output qrc_40LL_1P8M_6Ic_2TMc_ALPA1_CMAX.capTbl




generateCapTbl-ictqrc_40LL_1P8M_6Ic_2TMc_ALPA1_RCMAX.ict
-lef/home/pdk/40nmIOandSCC/SCC40NLL_HDC40_HVT_V0.1/SCC40NLL_HDC40_HVT_V0p1/lef/tf/scc40nll_8lm_2tm.lef
-output qrc_40LL_1P8M_6Ic_2TMc_ALPA1_RCMAX.capTbl




generateCapTbl-ictqrc_40LL_1P8M_6Ic_2TMc_ALPA1_RCMIN.ict
-lef/home/pdk/40nmIOandSCC/SCC40NLL_HDC40_HVT_V0.1/SCC40NLL_HDC40_HVT_V0p1/lef/tf/scc40nll_8lm_2tm.lef
-outputqrc_40LL_1P8M_6Ic_2TMc_ALPA1_RCMIN.capTbl




generateCapTbl-ictqrc_40LL_1P8M_6Ic_2TMc_ALPA1_TYPICAL.ict
-lef/home/pdk/40nmIOandSCC/SCC40NLL_HDC40_HVT_V0.1/SCC40NLL_HDC40_HVT_V0p1/lef/tf/scc40nll_8lm_2tm.lef
-output qrc_40LL_1P8M_6Ic_2TMc_ALPA1_TYPICAL.capTbl

ict转qrcTechFile

Techgen是EXT的命令:/home/opt/Cadence/EXT151/bin/Techgen,要想使用它,需要安装EXT,在安装路径下可以找到它:

[IC@eda bin]$ pwd

/home/opt/Cadence/EXT151/bin

[IC@eda bin]$ l Techgen

lrwxrwxrwx 1 root root 18 Oct 23 2020 Techgen -> ./.cdnWrapperIndep

下面给一个Cadence官网相关的PPT:

How qrcTechFile is Created Through TechGen Process? (Video)

qrcTechFile is a critical input for Quantus Extraction and the video details steps involved in creating the tech file through TechGen process that involves Simulation and Compilation steps.

c430b6c6-f28d-11ed-90ce-dac502259ad0.pngc447ae12-f28d-11ed-90ce-dac502259ad0.pngc4d2e734-f28d-11ed-90ce-dac502259ad0.pngc4e9c9ae-f28d-11ed-90ce-dac502259ad0.png    


审核编辑 :李倩


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • EDA工具
    +关注

    关注

    4

    文章

    252

    浏览量

    31287
  • RC
    RC
    +关注

    关注

    0

    文章

    214

    浏览量

    48314
  • 寄生参数
    +关注

    关注

    0

    文章

    13

    浏览量

    1991

原文标题:长文 - itf, ict, tluplus, capTable, nxtgrd, qrcTechFile以及它们之间的相互转换

文章出处:【微信号:集成电路设计及EDA教程,微信公众号:集成电路设计及EDA教程】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    一些关于电脑的相关知识

    一些关于电脑的相关知识
    发表于 06-01 16:24

    我对IC设计流程的一些理解

    。自动布局布线完成后就可以根据产生的版图文件信息提取寄生参数来进行包含寄生参数与互联延迟的后仿真
    发表于 01-07 17:10

    介绍一些简单的项目

    学51单片机已经个多月,简单的操作感觉还好。 想找一些简单的项目做下,在项目中学习,求介绍一些项目,谢谢。PS:以后想往Linux嵌入式
    发表于 08-18 12:35

    拉绳位移传感器关于一些参数介绍

    `拉绳位移传感器关于一些参数介绍精量电子生产的拉绳位移传感器在使用方面需要注意一些事项,那么关于拉绳位移传感器的一些
    发表于 11-25 11:15

    Fruity Reverb2插件的一些功能和参数

    FL Studio,也就是我梦通常所说的水果音乐制作软件,是款新手就可以用的软件。其操作简单,界面简洁大方,就算只用鼠标也可以轻松编曲。FL Studio20中有许多自带合成器是很好用的,同时也是其他插件的基础,接下来为大家介绍
    发表于 11-04 06:47

    用starRC提取的spf格式的寄生参数怎么进行转格式?

    用starRC提取的spf格式的寄生参数,跑幽灵的后遗症,结果说是幽灵语言支持。网上大家说要转换格式,但没说怎么转换,请问下怎么转格式呢
    发表于 06-24 08:11

    介绍一些常用的LDO相关术语

    低压差稳压器(LDO)看似简单,但可提供重要功能,例如将负载与不干净的电源隔离开来或者构建低噪声电源来为敏感电路供电。本简短教程介绍一些常用的LDO 相关术语,以及一些基本概念,如压
    发表于 11-12 06:07

    介绍PMIC硬件电路相关一些知识

    PMIC 电源管理集成电路(Power Management IC)在之前项目中,驱动最小系统,对于PMIC这块儿很懵懂,故抽时间查看一些优秀博文及资料,加强对电源管理的理解。本文介绍 PMIC 硬件电路相关
    发表于 11-17 07:24

    介绍一些与变量相关的存储属性与作用域

    为大家介绍一些变量相关的存储属性、作用域、生命周期以及链接属性的一些知识,有助于大家更好地理解程序,分析程序。、存储类&作用域&生命周期&
    发表于 02-14 07:00

    使用stm32l4r板运行一些测试,遇到了octospi参数问题如何解决?

    我正在使用 stm32l4r 板运行一些测试,我正在使用 stm32cubemx 生成我的代码,我遇到了 octospi 参数问题在与我的同事起投资并检查文件后,我们发现这是与延迟块
    发表于 02-09 07:38

    无折衷的先进工艺寄生参数提取方法

    提供了基于层的独特混合方法,该方法结合了两种互连的寄生参数提取引擎,二者以无缝和自主的方式使用高级启发法协同工作,以便在任意给定的情景中应用最合适的参数
    发表于 03-02 16:24 3次下载

    基于电磁法的InP-DHBT寄生参数提取及H波段验证

    基于电磁法的InP-DHBT寄生参数提取及H波段验证
    发表于 04-07 09:14 0次下载
    基于电磁法的InP-DHBT<b class='flag-5'>寄生</b><b class='flag-5'>参数</b><b class='flag-5'>提取</b>及H波段验证

    IGBT的内部寄生参数介绍

    关于IGBT的内部寄生参数,产品设计时对IGBT的选型所关注的参数涉及到的寄生参数考虑的不是很多,对于其标称的电压、电流和损耗等关注的比较多
    的头像 发表于 06-12 10:29 1w次阅读
    IGBT的内部<b class='flag-5'>寄生</b><b class='flag-5'>参数</b><b class='flag-5'>介绍</b>

    如何使用EMS for Solidworks提取PCB结构的寄生参数

    EMI 或电磁干扰是来自设备或系统的不良电磁噪声,会干扰相邻设备或系统的正常运行。EMI建模和预测的基本过程需要提取PCB和电路元件的寄生参数以建立高频电路模型。
    的头像 发表于 04-24 15:46 3505次阅读
    如何使用EMS for Solidworks<b class='flag-5'>提取</b>PCB结构的<b class='flag-5'>寄生</b><b class='flag-5'>参数</b>

    可编程逻辑电路设计之寄生参数提取工具

    寄生参数提取通常有两类方法:精确计算方法和快速模型方法。精确计算方法精度高,但其速度较慢,所以常用于规模较小但对精度要求较高的应用,例如工艺分析、标准单元建库,射频电路分析等。快速模型方法相比精确计算方法精度较差,但由于其速度
    的头像 发表于 08-30 10:15 2343次阅读