0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

浅析 DDS 直接数字频率合成技术

analog_devices 来源:未知 2023-04-14 19:45 次阅读

直接数字频率合成技术 (Direct Digital Synthesis),简称 DDS,它是一种基于数字电子电路的频率合成技术,用于产生周期性波形,通常应用在一些频率激励 / 波形发生、频率相位调谐和调制、低功耗 RF 通信系统、液体和气体测量;还有接近度、运动和缺陷检测传感器场合也可以找到 DDS 的身影。总体而言,目前从低频到几百 Mhz 的正弦波、三角波产生,绝大多数都使用了 DDS 芯片。本文将由ADI代理商骏龙科技工程师Luke Lu引领大家更进一步地了解 DDS。

DDS 的核心思想

对于一个正弦波来说,通常情况下其幅值可用以下公式得出:

A(t) = sin(ωt)

不过,这类正弦波是非线性曲线,因此除非通过分段构建,否则不易生成。另一方面,角度信息本质上是线性的。也就是说,每个单位时间内,相位角度会旋转固定角度。角速率取决于信号频率,也即 ω= 2πf。正弦波幅值和相位随时间的变化,如下图 (图1) 所示:eb3d7188-dab8-11ed-bfe3-dac502259ad0.jpg图1 正弦波幅值和相位随时间的变化

已知正弦波的相位是线性的,如果给定参考时间间隔 (时钟周期),则可以确定该周期内的相位旋转情况。

ΔPhase = ωΔt,求出ω,ω = ΔPhase/Δt = 2πf

求出 f,并用参考时钟频率替换参考周期(1/ fMCLK = Δt)

f = ΔPhase × fMCLK/2π

该如何理解上述的核心思想,我们来举一个简单的例子:先假设 DDS 有一个固定时钟,MCLK,为 36Mhz,那么每个脉冲的周期为 27.78ns。有一个正弦波的 “相位-幅度” 表,具有足够细密的相位步长,0.01°;那么一个完整的正弦波表就需要 36000 个点。完整的正弦波相位幅值表,如下图 (图2) 所示:eb56e5aa-dab8-11ed-bfe3-dac502259ad0.jpg

图2 完整的正弦波相位幅值表

从上图 (图2) 可以看到,从相位 0° 开始,一直到相位 0.11°,虽然正弦波的幅值一直在增加,但是时钟没有增加到全幅度的 1/1024,因此 DAC 输出的都为一样的值。可以想象这 36000 个点记录了一个标准正弦波的全部。显然,36000 个 CLK 为正弦波的周期,即 1ms,其频率为 1kHz:

eb79c840-dab8-11ed-bfe3-dac502259ad0.jpg

DDS 的核心思想就建立在此公式上:改变步长输出 m,可以改变输出频率。

DDS 的组成内核DDS 技术的核心由相位累加器 PA、相位幅度表和数模转换器 DAC 组成。我们以 AD9834 为例,调谐字最大可以达到 2^28=268435456 个点,远比上面我们说的 36000 个点要多,说明实际的 DDS 在相位分辨率上比 0.01° 要小得多。AD9834 功能框图,如下图 (图3) 所示:eb8ae1fc-dab8-11ed-bfe3-dac502259ad0.jpg

图3 AD9834 功能框图

输入一个技术步长 m,外部 MCLK 出现一个脉冲,PA 完成一次累加。那么完成一个周期 360° 旋转,需要时间为:

eb9f4ef8-dab8-11ed-bfe3-dac502259ad0.jpg

因此输出正弦波频率为:ebb225e6-dab8-11ed-bfe3-dac502259ad0.jpg有了上文的理论铺垫,我们可以得出一个 DDS 的完整工作流程,如下图 (图4) 所示:ebcd1180-dab8-11ed-bfe3-dac502259ad0.jpg

图4 DDS 工作流程

DDS的混叠现象DDS 的输出是根据奈奎斯特采样原理进行采样的信号,输出的信号频率相当于需要采样的信号,而输入的 MCLK 相当于采样频率。具体而言,其输出频谱包含基波和混叠信号 (镜像),且镜像频率为参考时钟频率和所选输出频率的倍数。DDS 输出频谱,如下图 (图5) 所示:ebe2d42a-dab8-11ed-bfe3-dac502259ad0.jpg

图5 DDS 输出频谱

通过 ADI 官网的 DDS 仿真工具能够直观地看出混叠对 DDS 输出信号的影响。使用 AD9834 仿真输入输出选项,如下图 (图6) 所示:

ebffd2aa-dab8-11ed-bfe3-dac502259ad0.jpg

图6 使用 AD9834 仿真输入输出选项

使用 AD9834 输出频域,如下图 (图7) 所示:ec16adfe-dab8-11ed-bfe3-dac502259ad0.jpg

图7 使用 AD9834 输出频域图

使用 AD9834 输出时域,如下图 (图8) 所示:ec2eb0a2-dab8-11ed-bfe3-dac502259ad0.jpg图8 使用 AD9834 输出时域图可以看出,由于混叠信号的影响,输出的 2Mhz 正弦波存在很大程度的失真,但混叠可以通过滤波器进行改善。以下我们来试试,设置 DDS 后端滤波器,如下图 (图9) 所示:ec429770-dab8-11ed-bfe3-dac502259ad0.jpg图9 DDS 后端滤波器设置

此时 DDS+ 低通滤波器后输出时域,如下图 (图10) 所示,输出的 2Mhz 正弦波已有改善。

ec56c452-dab8-11ed-bfe3-dac502259ad0.jpg

图10 DDS+ 低通滤波器后输出时域图总结

本文介绍了 DDS 的核心思想,DDS 的主要组成部分、以及使用 DDS 常见的信号失真导致原因。关于 DDS 更深层次的学习,比如 DDS 杂散问题,我们将会在未来的文章中与大家探讨。


原文标题:浅析 DDS 直接数字频率合成技术

文章出处:【微信公众号:亚德诺半导体】欢迎添加关注!文章转载请注明出处。


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 亚德诺
    +关注

    关注

    6

    文章

    4680

    浏览量

    15771

原文标题:浅析 DDS 直接数字频率合成技术

文章出处:【微信号:analog_devices,微信公众号:analog_devices】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Verilog实现DDS正弦波发生器

    DDS 同 DSP(数字信号处理)一样,是一项关键的数字技术DDS直接
    的头像 发表于 12-22 09:48 448次阅读
    Verilog实现<b class='flag-5'>DDS</b>正弦波发生器

    微波数字频率计的基本工作原理是什么?

    微波数字频率计的基本工作原理是什么? 微波数字频率计是一种用于测量微波信号频率的仪器。它通过将输入信号与参考信号混合,然后通过数字处理技术
    的头像 发表于 12-21 15:37 340次阅读

    DDS信号生成模块的Verilog实现

    直接数字频率合成器(Direct Digital Synthesizer,DDS),是一种频率合成
    的头像 发表于 11-08 14:39 463次阅读
    <b class='flag-5'>DDS</b>信号生成模块的Verilog实现

    基于FPGA 程序的DDS IP配置和调试

    DDS 同 DSP(数字信号处理)一样,是一项关键的数字技术DDS直接
    发表于 10-31 11:14 372次阅读
    基于FPGA 程序的<b class='flag-5'>DDS</b> IP配置和调试

    直接数字频率合成信号发生器的设计

    电子发烧友网站提供《直接数字频率合成信号发生器的设计.pdf》资料免费下载
    发表于 10-30 09:56 0次下载
    <b class='flag-5'>直接</b><b class='flag-5'>数字频率</b><b class='flag-5'>合成</b>信号发生器的设计

    数字频率和模拟频率的关系

    数字频率和模拟频率的关系 数字频率和模拟频率是电子领域中经常涉及到的两个概念。这两个概念虽然有所相似,但在实际应用中又有所不同。数字频率
    的头像 发表于 08-27 15:37 4654次阅读

    直接数字合成技术(DDS)是什么?DDS又是如何工作的?

    直接数字合成技术(DDS)是一种频率合成
    的头像 发表于 08-24 11:47 2533次阅读
    <b class='flag-5'>直接</b><b class='flag-5'>数字</b><b class='flag-5'>合成</b><b class='flag-5'>技术</b>(<b class='flag-5'>DDS</b>)是什么?<b class='flag-5'>DDS</b>又是如何工作的?

    LogiCORE DDS IP v1.0用户手册

    Controlled Oscillator)数控振荡器,是一种数字频率合成技术,通过设置频率字和相位字,基本可以合成任意的
    发表于 08-09 06:11

    AD9854数字频率合成器手机及原理图

    AD9854 数字频率合成器是一款高度集成的器件,采用先进的 DDS 技术,内置 两个高速、高性能正交 DAC,共同构成一个数字可编程 I
    发表于 07-24 14:16 0次下载

    Xilinx Vivado DDS IP使用方法

    DDS(Direct Digital Frequency Synthesizer) 直接数字频率合成器,本文主要介绍如何调用Xilinx的DDS
    的头像 发表于 07-24 11:23 2139次阅读
    Xilinx Vivado <b class='flag-5'>DDS</b> IP使用方法

    常用的数模混合PLL的两种经典结构

    频率合成器一般分为直接合成模拟式频率合成器、锁相环频率合成
    的头像 发表于 07-06 14:46 2625次阅读
    常用的数模混合PLL的两种经典结构

    AD9914数字频率合成器规格书

    AD9914BCPZ是一款直接数字频率合成器(DDS),内置一个12位数模转换器,目标工作速率最高达3.5 GSPS。该器件采用先进的DDS
    发表于 07-05 15:10 0次下载

    数字频率合成器的作用

    数字频率合成器(Digital Frequency Synthesizer)是一种电子设备,用于生成精确的、可编程的高稳定度的频率信号。它的主要作用是在各种应用中提供精确的频率
    的头像 发表于 06-30 09:15 609次阅读

    如何使用Vivado调用DDS的IP进行仿真呢?

    DDS(Direct Digital Synthesis,直接数字频率合成),作为信号发生器使用,在Quartus中也叫NCO(Numerically Controlled Oscil
    的头像 发表于 06-21 10:32 1746次阅读
    如何使用Vivado调用<b class='flag-5'>DDS</b>的IP进行仿真呢?

    咨询应用工程师:关于直接数字合成的一切

    直接数字频率合成DDS) 是一种通过生成数字形式的时变信号,然后执行数模转换来产生模拟波形(通常是正弦波)的方法。由于
    的头像 发表于 06-17 16:00 1313次阅读
    咨询应用工程师:关于<b class='flag-5'>直接</b><b class='flag-5'>数字</b><b class='flag-5'>合成</b>的一切