0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于51单片机的多功能八路抢答器设计

CHANBAEK 来源:电子应用学习馆 作者:一只会飞的大鱼儿 2023-04-14 14:42 次阅读

1.功能介绍

多功能八路抢答器是基于51单片机来设计的,除了可以实现最基本功能——8路抢答外,还具有自动处理犯规选手,抢答时间调整,还可以进行答题,计分,并且可以查询或修改分数。

2.硬件设计

(1)硬件框图

该抢答器的硬件由五个部分组成,包括单片机、按键电路、数码管显示电路、指示灯电路和声音提示电路。硬件框图如下

图片

(2)硬件原理图

由于每个部分的硬件电路都比较简单,就不做介绍了,大家直接看图。

图片

图片

3.软件设计

(1)软件流程图

图片

(2)程序源码

由于本例程代码比较多,这里就只贴出部分代码,有兴趣的小伙伴可以下载源码去看看

void main()
{ uint j;//用来构成循环
  TMOD=0x11;//T0设置为16位定时方式
  TH0=0x3c;  
  TL0=0xb0;//50ms
  IE= 0x82;  //允许T0中断
  TR0=0;     //关闭定时开关
while(1)
  {     while(1) 
          { LED01=1;LED02=1;LED03=1;LED04=1;LED05=1;LED06=1;LED07=1;LED08=1;//监视灯初始化为灭
        if(KS1==0)  {ks1=1;break;}//开始抢答倒计时
        if(KS2==0)   {ks2=1;break;}//开始答题倒计时
        if(ADD1==0)  {delaynms(20);  if(ADD1==0)  dtime++;if(dtime>=1000) dtime=0;}//答题时间调整
      if(MIN1==0)  {delaynms(20);  if(MIN1==0)  dtime--;if(dtime<0) dtime=999;}
      if(ADD10==0) {delaynms(20);   if(ADD10==0) dtime=dtime+10;if(dtime>=1000) dtime=0;}
      if(MIN10==0) {delaynms(20);   if(MIN10==0) dtime=dtime-10;if(dtime<0) dtime=999;}
        shumasm(LED[qdtime_2],dtime);//数码管动态扫描4位  
      if(B_score==0)
         {   delaynms(20);
            if(B_score==0)
            while(1)
              { if(B_score==0)
             { delaynms(20);if(B_score==0) break;}//再次按下B_score退出分数调节   
             shumasm(LED_num[num],score[num]);        //数码管动态扫描4位,显示选手编号和对应分数
             if(next==0)
               { delaynms(20);if(next==0) num++; if(num==9) num=0;}//显示下一位选手编号和对应分数
             if(last==0)
               { delaynms(20);if(last==0)  num--; if(num<0) num=8;}//显示上一位选手编号和对应分数
             if(REST==0)  {delaynms(20); if(REST==0) score[num]=0; }//将当前选手分数清零
                 if(ADD1==0)  {delaynms(20);  if(ADD1==0)  score[num]++;if(score[num]>=1000) score[num]=0;}//调节数码管上对应选手分数,分数范围0-999
                 if(MIN1==0)  {delaynms(20);  if(MIN1==0)  score[num]--;if(score[num]<0) score[num]=999;}
                 if(ADD10==0) {delaynms(20);   if(ADD10==0) score[num]=score[num]+10;if(score[num]>=1000) score[num]=0;}
                 if(MIN10==0) {delaynms(20);   if(MIN10==0) score[num]=score[num]-10;if(score[num]<0) score[num]=999;}   
             }
         }

       }
       ....
    }
    .....
  }
}
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 抢答器
    +关注

    关注

    16

    文章

    241

    浏览量

    34101
  • 原理图
    +关注

    关注

    1268

    文章

    6183

    浏览量

    225746
  • 单片机
    +关注

    关注

    6001

    文章

    43978

    浏览量

    620866
  • 51单片机
    +关注

    关注

    269

    文章

    5649

    浏览量

    120702
  • 数码管
    +关注

    关注

    31

    文章

    1827

    浏览量

    89950
收藏 人收藏

    评论

    相关推荐

    八路抢答器设计论文

    电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求0 笔者按照这一要求,并根据74LS373八路锁存功能特点,用74LS373和其它几
    发表于 12-01 21:13

    基于8951单片机八路抢答器及计分的编程

    我需要做一个八路抢答器及计分,导师要求硬件由一个单片机作为主机,8个单片机作为从,当主机按键
    发表于 03-21 15:19

    谁有基于单片机八路抢答器

    谁有基于单片机八路抢答器
    发表于 09-23 21:31

    基于51单片机八路抢答器

    课程设计用51做了个八路抢答器,跟大家分享下。。
    发表于 04-06 19:50

    8抢答器

    基于51单片机八路抢答器proteus仿真
    发表于 01-15 16:49

    八路抢答器

    求个八路抢答器,要纯硬件的。。。。
    发表于 04-08 21:59

    八路抢答器

    八路抢答器{:6:}
    发表于 07-15 09:38

    基于Proteus的八路抢答器

    基于Proteus的八路抢答器
    发表于 04-14 11:58

    八路抢答器

    八路抢答器,强大的功能抢答倒计时,回答倒计时,抢答时间加减,回答时间加减!同时抢时,显示相应的号码和LED灯!倒计时提醒.。
    发表于 12-03 12:08

    求基于单片机(AT89C51)的八路抢答器的设计

    求基于单片机(AT89C51)的八路抢答器的设计,谢谢
    发表于 04-13 10:32

    八路抢答器设计

    八路抢答器设计
    发表于 07-10 17:20

    八路抢答器

    `求大神帮我讲讲4511型八路抢答器的参数设计`
    发表于 05-18 16:18

    如何去实现一种基于51单片机八路抢答器设计

    随着科学技术的发展和普及,各种各样的竞赛越来越多,其中抢答器的作用也越来越重要。本文设计出以STC89C52RC单片机为核心的八路抢答器。所需元器件如下:...
    发表于 11-09 06:44

    怎样去设计一种基于51单片机八路抢答器系统

    抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。本设计是以八路抢答为基本理念。考虑到依需设定限时回答的功能,利用51
    发表于 12-06 07:33

    使用51单片机设计的多功能八路抢答器的电路图和程序资料免费下载

    多功能八路抢答器是基于51单片机来设计的,除了可以实现最基本功能——8路
    发表于 08-06 17:34 18次下载
    使用<b class='flag-5'>51</b><b class='flag-5'>单片机</b>设计的<b class='flag-5'>多功能</b><b class='flag-5'>八路</b><b class='flag-5'>抢答器</b>的电路图和程序资料免费下载