0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

verilog无法直接调用vhdl的ngc文件咋办?

FPGA通信小白成长之路 来源:FPGA通信小白成长之路 2023-03-30 10:37 次阅读

在vivado中的Settimg中,Target language可以选择verilog,也可以选择vhdl,该选项意味着在生成新的文件或ip时,默认的语言是什么,但实际上在使用时可以混用verilog和vhdl,两种语言的文件和模块可以相互调用,相互嵌套,只要保证在例化的时候接口一致就行

但最近在检查一个老项目时发现一个相互调用的问题。个别老的项目在项目开发完并且性能稳定后,为了保证移植的便利性,将算法模块进行封装,变成.ngc文件,该做法也可以防止其他人对里面的代码进行更改,也对算法是一种保护。

但最近我发现某算法模块是用vhd开发并封装的,使用verilog例化该算法模块并调用该ngc文件时,会报错,verilog无法直接调用vhdl的ngc文件。

后来想到一个方法

在中间再嵌套一级vhdl模块进行透传。具体做法即新建一个vhdl模块,例化ngc模块并进行调用,然后再使用verilog模块对新的vhd模块进行例化,间接对ngc进行调用,该方法亲测可行。






审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Verilog
    +关注

    关注

    28

    文章

    1326

    浏览量

    109302
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65101

原文标题:verilog不能直接调用vhdl的ngc文件的解决办法

文章出处:【微信号:FPGA通信小白成长之路,微信公众号:FPGA通信小白成长之路】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    如何快速在VerilogVHDL之间互转

    Verilog语言和VHDL语言是两种不同的硬件描述语言,但并非所有人都同时精通两种语言,所以在某些时候,需要把Verilog代码转换为VHDL代码。本文以通用的XHDL工具为例对
    的头像 发表于 11-10 15:41 1w次阅读
    如何快速在<b class='flag-5'>Verilog</b>和<b class='flag-5'>VHDL</b>之间互转

    例说Verilog HDL和VHDL区别

    VerilogVHDL之间的区别将在本文中通过示例进行详细说明。对优点和缺点的VerilogVHDL进行了讨论。
    的头像 发表于 12-20 09:03 662次阅读
    例说<b class='flag-5'>Verilog</b> HDL和<b class='flag-5'>VHDL</b>区别

    怎样在Verilog写的testbench测试VHDL模块??

    怎样在Verilog写的testbench测试VHDL模块??一个vhdl的工程模块,怎么用verilog写testbench 来调用模块仿
    发表于 08-01 22:54

    VHDL调用verilog时遇到一个神奇的问题

    工程中使用到了一个verilog写的模块,我一直用VHDL,把它调用进来,编译,仿真都可以跑,就送仿真结果不对。单独用VHDL编写一个仿真程序来测试,结果又是对的,仔细检查功能,仍找不
    发表于 01-14 14:15

    verilog VHDL文件问题

    1.我想使用Verilog写一个读文件的模块,接口规划如下:module read_file_v #(parameter SIZE= 8)(input clk,input resetn,input
    发表于 01-14 22:31

    verilog调用VHDL模块

    郁闷了,表示只看过VHDL语法但没写过。暂且不说VHDL模块的内容,我应该如何在测试平台中例化它并对它进行测试呢?稍微查了一下,其实很简单,只要把VHDL中的组件名、端口统统拿出来,按照ver
    发表于 07-03 12:58

    verilog调用VHDL模块

    郁闷了,表示只看过VHDL语法但没写过。暂且不说VHDL模块的内容,我应该如何在测试平台中例化它并对它进行测试呢?稍微查了一下,其实很简单,只要把VHDL中的组件名、端口统统拿出来,按照ver
    发表于 07-09 01:14

    使用12.4生成的ngc文件可以与更新的工具集一起使用吗?

    亲爱的会员;我目前的设计使用microblaze和各种其他vhdl块(其中一些是ngc文件),我使用Xilinx工具12.4映射到我的SP605开发板。如果我想使用更新版本的Xilinx工具,应该
    发表于 06-10 14:46

    Vivado 2015.2如何生成设计的.NGC文件

    我从Xilinx Vivado 2015.2生成了一个FIFOIP。但我无法在Xilinx ISE 14.7中看到合成设计所需的.NGC文件。如何生成设计的.NGC
    发表于 04-24 09:40

    VHDLVerilog HDL语言对比

    VHDLVerilog HDL语言对比 Verilog HDL和VHDL都是用于逻辑设计的硬件描述语言,并且都已成为IEEE标准。VHDL
    发表于 02-09 09:01 1w次阅读

    VHDL,Verilog,System verilog比较

    本文简单讨论并总结了VHDLVerilog,System verilog 这三中语言的各自特点和区别 As the number of enhancements
    发表于 01-17 11:32 0次下载

    Verilog HDL语言的文件调用问题:include使用方法介绍

    本文简单介绍在使用Verilog HDL语言时文件调用问题之include使用方法介绍及举例说明,详见本文...
    发表于 01-24 14:40 6462次阅读
    <b class='flag-5'>Verilog</b> HDL语言的<b class='flag-5'>文件</b><b class='flag-5'>调用</b>问题:include使用方法介绍

    卡尔曼滤波代码(直接调用

    文件包含.c和.h文件,可直接到工程中调用
    发表于 04-16 09:53 30次下载

    vhdl转换为verilog_VHDLVerilog谁更胜一筹

    今天给大家分享一个VHDLVerilog的工具。很多新手初次学习FPGA都曾遇到过一个问题:是学Verilog OR VHDL
    发表于 08-25 09:22 6243次阅读
    <b class='flag-5'>vhdl</b>转换为<b class='flag-5'>verilog_VHDL</b>和<b class='flag-5'>Verilog</b>谁更胜一筹

    探讨VHDLVerilog模块互相调用的问题

    1、 关于如何在VHDL模块调用一个Verilog模块 在VHDL模块声明一个要与调用Verilog
    的头像 发表于 04-30 14:06 8949次阅读
    探讨<b class='flag-5'>VHDL</b>和<b class='flag-5'>Verilog</b>模块互相<b class='flag-5'>调用</b>的问题