0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

锁存器和触发器的定义和比较

jf_78858299 来源:畅学电子 作者:畅学电子 2023-03-23 14:48 次阅读

锁存器(latch)---对脉冲电平敏感,在时钟脉冲的电平作用下改变状态,当Gate输入为高电平时,输入D透明传输到输出Q;当Gate从高变低或者保持低电平时,输出Q被锁存保持不变。锁存器是电平触发的存储器。

图片

应用场合:数据有效迟后于时钟信号有效。这意味着时钟信号先到,数据信号后到。在某些运算器电路中有时采用锁存器作为数据暂存器。

触发器(flip-flop)---对脉冲边沿敏感,其状态只在时钟脉冲的上升沿或下降沿的瞬间改变。当时钟信号C上升沿时刻(或者下降沿时刻),输入D被赋值到输出Q,其他情况保持锁存。触发器是边沿触发的存储器。

图片

应用场合:时钟有效迟后于数据有效。这意味着数据信号先建立,时钟信号后建立。在CP上升沿时刻打入到寄存器

比较:

1)、latch和flip-flop都是时序逻辑,所以输出不但同当前的输入相关还同上一时间的输出相关;

2)、latch由电平触发,异步控制。在使能信号有效时latch相当于通路,在使能信号无效时latch保持输出状态。触发器由时钟沿触发,同步控制。所以说,触发器是一个同步版锁存器;

3)、FPGA主要有触发器和查找表组成,没有标准的latch单元,一个latch需要更多资源才能实现;

4)、一般的设计规则是:在绝大多数设计中避免产生latch。latch最大的危害在于不能过滤毛刺。这对于下一级电路是极其危险的。所以,只要能用D触发器的地方,就不用latch;

5)、if语句或者case语句不全很容易产生latch。在RTL描述中,如果一个信号在一个条件的分支中被赋值,而不是在所有分支中被赋值,则该信号的前一个值就要被保留。

同步情况下(敏感列表时时钟边沿)用触发器实现,保留到下一个时钟边沿为止,异步情况下(即敏感列表中是电平而非时钟的边沿)需要由锁存器来实现,保留到下一个已列出的分支情况的电平有效为止。

2、锁存器的有与无

推导出锁存器的一般规则是:

1)、如果在电平触发的always语句所有可能的执行过程(如if/case语句)中变量没有全部被赋值,就会产生锁存器;

2)、如果在边沿触发的always语句所有的可执行过程中变量没有被全部复制,会产生触发器;

3)、如果在always语句中变量在if/case的所有分支中都被赋值则综合成组合逻辑;

always @ (Toggle)

case (Toggle) //synthesis full_case

2'b01: NextToggle = 2'b10;

2'b10: NextToggle = 2'b01;

endcase

图片

always @ (Toggle)

case (Toggle)

2'b01: NextToggle = 2'b10;

2'b10: NextToggle = 2'b01;

endcase

图片

第一种写法用编译命令synthesis full_case把case语句补全,效果和default语句或将case列举出所有情况类似。

此时没有锁存器,只用两个2输入的LUT完成2输入2输出的逻辑功能,纯粹的组合逻辑。

第二种写法没有将case的所有情况列举出,就产生了锁存器LD。

查看Xilinx的库手册,LD是透明锁存器,当G使能的时候,Q输出D的值;当G不使能的时候,Q保持上一状态的值。

以下改用的时钟的上调沿触发,生成了两个D触发器,当时钟上调沿来临时用输入端D更新输出端Q的数据。

always @ (posedge clk)

case (Toggle)

2'b01: NextToggle = 2'b10;

2'b10: NextToggle = 2'b01;

endcase

图片

这说明边沿触发的always块中,即使if...else或者case没写全也不会出现锁存器。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 存储器
    +关注

    关注

    38

    文章

    7151

    浏览量

    162000
  • 电平
    +关注

    关注

    5

    文章

    338

    浏览量

    39565
  • 锁存器
    +关注

    关注

    8

    文章

    745

    浏览量

    41037
  • 触发器
    +关注

    关注

    14

    文章

    1681

    浏览量

    60406
收藏 人收藏

    评论

    相关推荐

    数字逻辑设计中锁存器和触发器定义比较

    锁存器(latch)---对脉冲电平敏感,在时钟脉冲的电平作用下改变状态,当Gate输入为高电平时,输入D透明传输到输出Q;当Gate从高变低或者保持低电平时,输出Q被锁存保持不变。
    发表于 09-08 14:26 3289次阅读
    数字逻辑设计中锁存器和<b class='flag-5'>触发器</b>的<b class='flag-5'>定义</b>和<b class='flag-5'>比较</b>

    触发器、寄存和缓冲的区别

    时钟脉冲的电平作用下改变状态是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,仅当
    发表于 10-09 16:19

    凔海笔记之FPGA(六):触发器

    逻辑可构成时序逻辑电路,简称时序电路。现在讨论实现存储功能的两种逻辑单元电路,即触发器。双稳态:电子电路中。其双稳态电路的特点是:在没有外来
    发表于 05-21 06:50

    寄存触发器的区别

    寄存:register:latch触发器:flipflop 一、
    发表于 07-03 11:50

    触发器、寄存三者的区别

    触发器:能够存储一位二值信号的基本单元电路统称为“触发器”。:一位触发器只能传送或存储一位
    发表于 09-11 08:14

    【转】数字电路三剑客:触发器和寄存

    结果。其实寄存就是一种常用的时序逻辑电路,但这种时序逻辑电路只包含存储电路。寄存的存储电路是由
    发表于 10-27 22:38

    的缺点和优点

    数据输入发生变化。不同于触发器,它不在数据时,输出端的信号随输入信号变化,就像信号通过
    发表于 04-23 03:35

    什么是触发器 触发器的工作原理及作用

    根据输入信号改变输出状态。把这种在时钟信号触发时才能动作的存储单元电路称为触发器,以区别没有时钟信号控制的
    发表于 12-25 17:09

    晶体管/门电路//触发器解析

    晶体管,门电路,触发器的理解
    发表于 01-12 07:55

    JK触发器基本教程,讲的超详细!!

    操作与具有相同“置位”和“复位”输入的先前SR触发器完全相同。这次的区别是,即使S和R都为逻辑“ 1” ,“ JK触发器”也没有SR
    发表于 02-01 09:15

    图文并茂:D型触发器电路设计教程

    触发器敏感的SR,如图所示,现在S = D而R =不是D。D型触发器电路我们记得,一个简单的SR
    发表于 02-03 08:00

    两个触发器的目的是什么

    2020.3.26_学习笔记两个D触发器​ 最近发现一个问题,代码中会特地的新建一个D触发器用来信号,让很多人都比较疑惑,明明一个D
    发表于 07-30 06:44

    关于modelsim后仿真出现不定态的问题

    本帖最后由 xvjiamin 于 2021-9-26 20:46 编辑 如图,在编写TDC延时链的时候,结果通过D触发器。但是出现了红色的不定态,这是为什么?该怎么解决呢?可以看到,信号
    发表于 09-26 20:41

    触发器的工作原理是什么

    的工作原理是什么?的动态特性及其应用有哪些?触发
    发表于 11-03 06:48

    触发器

    触发器1.什么情况要用到?状态不能保持
    发表于 03-10 17:52