0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

加法器的应用举例

CHANBAEK 来源:IOput 作者:Bruno 2023-03-21 11:39 次阅读

通用加法器集成电路

wKgZomQZJr6AYI9tAABZFNj0GI0019.jpg

加法器应用举例

用4×2选1数据选择器74157和4位全加器7483,构成4位二进制加/减器。

在二进制补码系统中,减法功能由加“减数”的补码实现。

wKgaomQZJr6AL0qJAACSYT9jrBM325.jpg

关于减法电路探讨

二进制减法运算

wKgaomQZJr6AMBU6AABRxrIKxV0785.jpg

(1)式的实现方法: (以4位数相减为例)

wKgZomQZJr6ARPmqAABLR0OokJ0946.jpg

借位信号实现减2n 的功能: 当A+B反+1 的高位有进位时,

该进位信号和2n 相减使最高位为0, 反之为1。

分两种情况讨论:

wKgaomQZJr6AbbEQAAF6fYNHJ5s962.jpg

wKgaomQZJr6AQI-zAAGuOsG41kk126.jpg

由符号决定求补的逻辑图

利用7483(四位二进制加法器)构成8421BCD码加法器.

二进制数和8421BCD码对照表

wKgaomQZJr6AZhbkAAIQxZkJ2Ps346.jpg

wKgZomQZJr6AQBDhAADeUi_TkWE996.jpg

总结上表,可得:

wKgZomQZJr6ALbxYAAGok35NMfY223.jpg

wKgZomQZJr6AdHgpAAGIjUvcFUA823.jpg

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 集成电路
    +关注

    关注

    5321

    文章

    10739

    浏览量

    353423
  • 二进制
    +关注

    关注

    2

    文章

    707

    浏览量

    41252
  • 加法器
    +关注

    关注

    6

    文章

    174

    浏览量

    29732
  • 减法电路
    +关注

    关注

    0

    文章

    12

    浏览量

    7943
  • 数据选择器
    +关注

    关注

    2

    文章

    114

    浏览量

    16332
收藏 人收藏

    评论

    相关推荐

    运算放大器的同相加法器和反相加法器

      运算放大器构成加法器 可以分为同相加法器和反相加法器
    发表于 08-05 17:17 2.3w次阅读
    运算放大器的同相<b class='flag-5'>加法器</b>和反相<b class='flag-5'>加法器</b>

    加法器

    请问下大家,,进位选择加法器和进位跳跃加法器的区别是啥啊?我用Verilog实现16位他们的加法器有什么样的不同啊?还请知道的大神告诉我一下。。
    发表于 10-20 20:23

    什么是加法器加法器的原理是什么 ?

    什么是加法器加法器的原理是什么 反相加法器等效原理图解析
    发表于 03-11 06:30

    多位快速加法器的设计

    摘要:加法运算在计算机中是最基本的,也是最重要的运算。传统的快速加法器是使用超前进位加法器,但其存在着电路不规整,需要长线驱动等缺点。文章提出了采用二叉树法设
    发表于 05-19 09:57 62次下载

    4位并行的BCD加法器电路图

       图二所示为4位并行的BCD加法器电路。其中上面加法器的输入来自低一级的BCD数字。下
    发表于 03-28 16:35 1.2w次阅读
    4位并行的BCD<b class='flag-5'>加法器</b>电路图

    加法器,加法器是什么意思

    加法器,加法器是什么意思 加法器 :  加法器是为了实现加法的。  即是产生数的和的装置。加数和被加数为输入,和数与
    发表于 03-08 16:48 5160次阅读

    十进制加法器,十进制加法器工作原理是什么?

    十进制加法器,十进制加法器工作原理是什么?   十进制加法器可由BCD码(二-十进制码)来设计,它可以在二进制加法器的基础上加上适当的“校正”逻辑来实现,该校正逻
    发表于 04-13 10:58 1.3w次阅读

    FPU加法器的设计与实现

    浮点运算器的核心运算部件是浮点加法器,它是实现浮点指令各种运算的基础,其设计优化对于提高浮点运算的速度和精度相当关键。文章从浮点加法器算法和电路实现的角度给出设计
    发表于 07-06 15:05 47次下载
    FPU<b class='flag-5'>加法器</b>的设计与实现

    同相加法器电路原理与同相加法器计算

    同相加法器输入阻抗高,输出阻抗低 反相加法器输入阻抗低,输出阻抗高.加法器是一种数位电路,其可进行数字的加法计算。当选用同相加法器时,如A输
    发表于 09-13 17:23 5.6w次阅读
    同相<b class='flag-5'>加法器</b>电路原理与同相<b class='flag-5'>加法器</b>计算

    Verilog基本功之:流水线设计Pipeline Design

    第一部分什么是流水线 第二部分什么时候用流水线设计 第三部分使用流水线的优缺点 第四部分流水线加法器举例 一. 什么是流水线 流水线设计就是将组合逻辑系统地分割,并在各个部分(分级)之间插入寄存器
    发表于 09-25 17:12 4543次阅读

    加法器原理

    加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。常用作计算机算术逻辑部件,执行逻辑操作、移位与指令调用
    的头像 发表于 06-19 14:20 2.5w次阅读
    <b class='flag-5'>加法器</b>原理

    加法器设计代码参考

    介绍各种加法器的Verilog代码和testbench。
    发表于 05-31 09:23 19次下载

    加法器的原理及采用加法器的原因

    有关加法器的知识,加法器是用来做什么的,故名思义,加法器是为了实现加法的,它是一种产生数的和的装置,那么加法器的工作原理是什么,为什么要采用
    的头像 发表于 06-09 18:04 3862次阅读

    4位加法器的构建

    电子发烧友网站提供《4位加法器的构建.zip》资料免费下载
    发表于 07-04 11:20 0次下载
    4位<b class='flag-5'>加法器</b>的构建

    镜像加法器的电路结构及仿真设计

    镜像加法器是一个经过改进的加法器电路,首先,它取消了进位反相门;
    的头像 发表于 07-07 14:20 1360次阅读
    镜像<b class='flag-5'>加法器</b>的电路结构及仿真设计