0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

start()如何执行uvm_sequence

jf_78858299 来源:芯片验证工程师 作者:验证哥布林 2023-03-21 11:37 次阅读

图片

要使用start()启动一个sequence,就必须要指定相应的sequencer句柄, 另外的几个选项一般用不上 。其中

  • parent_sequence是父类sequene句柄
  • this_priority默认为1,或者父类sequence的priority
  • call_pre_post指定需不需要指定pre_body()和post_body()任务

一般来说,上面的3个选项都用不上的。

图片

上面的代码是使用start()方法启动一个sequence的示例。可以很清楚地看到parent_seq和call_pre_post对于执行过程的影响,其作用相当于一个个的行为开关。

图片

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Start
    +关注

    关注

    0

    文章

    72

    浏览量

    9808
  • sequence
    +关注

    关注

    0

    文章

    23

    浏览量

    2808
收藏 人收藏

    评论

    相关推荐

    UVM sequence分层有哪几种方式呢

    。class upper_env_item_seq extends uvm_sequence #(lower_env_item);... // Constructor and UVM automation
    发表于 04-11 16:37

    请问一下在UVM中的UVMsequences是什么意思啊

    UVM方法学中,UVMsequences 是寿命有限的对象。UVM sequences从uvm_sequence_item基类扩展得到,uvm_sequence_item进一步从
    发表于 04-11 16:43

    UVM sequence分层的几种体现

    验证环境需要对数据进行分层。例如,将32比特的寄存器读写封装成数据读写和状态读写等实际业务操作等或者对底层sequence进行一些随机控制等。实现这种分层可以有两种方式:1、Sequence
    发表于 04-14 11:08

    如何配置sequence的仲裁算法和优先级及中断sequence执行

    01 Arbitrary在UVM中,多个sequence可以同时被绑定到相同的sequencer并启动。这种测试场景在实际中是存在的,比如在模拟同一个总线master口上的不同类型的数据流时,可以将
    发表于 09-23 14:35

    sequence item实际应用中应该包含哪些东西

    UVM sequence机制提供了生成和控制事务级(transaction level)测试激励的方式,这种方式来源于工程实践,让测试用例的开发更加高效,同时也提高了测试激励的可重用性。在UVM框架
    发表于 09-23 14:42

    UVM中seq.start()和default_sequence执行顺序

      1. 问题  假如用以下两种方式启动sequence,方法1用sequencestart()方法启动seq1,方法2用UVM的default_
    发表于 04-04 17:15

    浅谈UVMsequence/item见解(上)

    item指的是uvm_sequence_item, Sequence Item具备UVM核心基类所必要的数据操作方法,对于激励的生成和场景控制,是由sequence来编织的,item应
    的头像 发表于 02-19 15:52 4799次阅读
    浅谈<b class='flag-5'>UVM</b>之<b class='flag-5'>sequence</b>/item见解(上)

    Modelsim uvm库编译及执行

    第一句话是设置uvm环境变量,指定uvm的dpi位置。 第二句话是创建work工作目录。 第三句话是编译源文件,并且通过-L指定几个编译库。 第三句是执行仿真,调用uvm
    的头像 发表于 12-01 11:25 3372次阅读
    Modelsim <b class='flag-5'>uvm</b>库编译及<b class='flag-5'>执行</b>

    UVM sequence机制中response的简单使用

    sequence作为UVM几个核心机制之一,它有效地将transaction的产生从driver中剥离出来,并且通过和sequencer相互配合,成功地将driver的负担降低至仅聚焦于根据协议
    的头像 发表于 09-22 09:26 1957次阅读

    UVMsequence的那些事儿

    将 生成测试case的语句 从 main_phase 中独立出来,使得使用不同测试用例时,只需要修改sequence部分即可,而不用关注 UVM剩余部分。
    的头像 发表于 05-26 15:17 662次阅读
    <b class='flag-5'>UVM</b>中<b class='flag-5'>sequence</b>的那些事儿

    UVM中的可重用序列

    众所周知,序列由几个数据项组成,它们共同构成了一个有趣的场景。序列可以是分层的,从而创建更复杂的方案。在最简单的形式中,序列应该是 uvm_sequence 基类的派生,方法是指定请求和响应项类型参数,并使用要执行的特定方案实现 body 任务。
    的头像 发表于 05-29 09:50 409次阅读

    UVM中的uvm_do宏简析

    uvm_do宏及其变体提供了创建、随机化和发送transaction items或者sequence的方法。
    的头像 发表于 06-09 09:36 2939次阅读
    <b class='flag-5'>UVM</b>中的<b class='flag-5'>uvm</b>_do宏简析

    在Sequencer上启动一个Sequence

    Sequencer默认不执行任何Sequence。验证工程师可以通过调用start()启动一个Sequence,也可以通过uvm_confi
    的头像 发表于 06-10 09:10 500次阅读
    在Sequencer上启动一个<b class='flag-5'>Sequence</b>

    UVM设计中的sequence启动方式有哪几种呢?

    本篇介绍UVM中的sequence,这是UVM中最基础的部分。对于前面介绍的uvm_callback, uvm_visitor等,很少被使用
    的头像 发表于 08-17 10:07 1530次阅读
    <b class='flag-5'>UVM</b>设计中的<b class='flag-5'>sequence</b>启动方式有哪几种呢?

    如何将sequences类型添加或注册到sequence library里呢?

    uvm_sequence_library是从uvm_sequence扩展而来的,它是一个容纳了一系列其它sequences类型的容器,在启动时,它会根据模式从这系列sequences中选择并执行它们。
    的头像 发表于 09-08 15:06 375次阅读
    如何将sequences类型添加或注册到<b class='flag-5'>sequence</b> library里呢?