0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电工知识—TIA(博途)Portal V17编程快捷键与功能

jf_CdHSpAyK 来源:设备原理与维修俱乐部 2023-03-07 10:10 次阅读

电工知识TIA(博途)Portal V17编程快捷键与功能

在程序中输入和编辑过程中,使用快捷键能极大地提高项目编辑效率,使用快捷键是良好的工程习惯。常用的快捷键与功能如下表1所示:

表1:快捷键与功能

序号 功能 快捷键 序号 功能 快捷键
1 插入常开触点 Shift+F2 8 新增块 Ctrl+N
2 插入常闭触点 Shift+F3 9 展开所有程序段 Alt+F11
3 插入线圈 Shift+F7 10 折叠所有程序段 Alt+F12
4 插入空功能框 Shift+F5 11 导航至程序段中第一元素 Home
5 打开分支 Shift+F8 12 导航至程序段中的最后一个元素 End
6 关闭分支 Shift+F9 13 导致至程序段中的下一个元素 Tad
7 插入程序段 Ctrl+R 14 导航至程序段中的上一个元素 Shift+Tab

注意:有得计算机在使用快捷键时,还需要在表列出快捷键前面加Fn键。

以下用一个简单例子介绍快捷键使用。

在TIA博途软件的项目视图中,打开块OB1,选中“程序段1”,依次按快捷键“Shift+F2”、“Shift+F3”和“Shift+F7”,则依次插入常开触点、常闭触点和线圈。如下图1所示:

df719e22-bc6f-11ed-bfe3-dac502259ad0.png

图1

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 编程
    +关注

    关注

    88

    文章

    3440

    浏览量

    92400
  • 电工
    +关注

    关注

    66

    文章

    405

    浏览量

    45875
  • Portal
    +关注

    关注

    1

    文章

    29

    浏览量

    10245
  • 程序
    +关注

    关注

    114

    文章

    3631

    浏览量

    79543
  • tia
    tia
    +关注

    关注

    1

    文章

    77

    浏览量

    27862

原文标题:电工知识—TIA(博途)Portal V17编程快捷键与功能

文章出处:【微信号:设备原理与维修俱乐部,微信公众号:设备原理与维修俱乐部】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    快捷键键盘

    快捷键
    jf_05471554
    发布于 :2022年09月30日 23:44:12

    eclipse快捷键

    器增量查找Ctrl+J文本编辑器增量逆向查找Ctrl+Shift+J全局粘贴Ctrl+V全局重做Ctrl+Y 查看作用域功能快捷键全局放大Ctrl+=全局缩小Ctrl+-&
    发表于 07-14 16:13

    电脑快捷键 魔方

    电脑快捷键魔方电脑快捷键魔方电脑快捷键魔方
    发表于 08-09 22:40

    快捷键

    我的***在原理图不能旋转(按空格)很多常见的快捷键不能用了在PCB快捷键Q也不能用
    发表于 04-16 22:55

    ABBYY PDF Transformer+快捷键教程

    CTRL+Q“编辑”菜单命令快捷键撤消CTRL+Z恢复CTRL+Y剪切Ctrl+X复制CTRL+C粘贴Ctrl+V删除DEL全选CTRL+A查找(F)Ctrl+F查找下一个F3从文件添加
    发表于 10-26 11:33

    allegro 快捷键

    这个是我用的快捷键,觉得很顺手,新手一定要尝试用快捷键快捷键可以大大加快速度
    发表于 01-08 16:22

    LabVIEW编程中常用快捷键

    工具,如果你想成为LabVIEW的编程高手,那么,下面这些快捷键,你是必须要熟记掌握的,记住这些快捷键后,会大大提高你的编程效率。使用频率较高的快捷
    发表于 03-19 11:51

    请问线选功能快捷键在哪设置?

    请问,线选功能快捷键在哪设置。还是那个矩形放置原件的快捷键,我设置了F6,不好使,设置了别的也不好使。请指点一下
    发表于 03-22 07:35

    Ubuntu常用的快捷键

    Ubuntu中的许多操作在终端(Terminal)中十分的快捷,记住一些快捷键的操作更得心应手。在Ubuntu中打开终端的快捷键是Ctrl+Alt+T。其他的一些常用的快捷键如下:
    发表于 07-17 08:34

    超实用CAD快捷键命令大全!

    的使用。下面就让小编来给大家分享一下超实用的CAD快捷键命令大全吧!CAD快捷键命令大全:常用快捷键CAD快捷键命令大全:综合类快捷键CAD
    发表于 01-27 17:48

    【Altium小课专题 第016篇】什么是快捷键 如何设置自定义快捷键

    快捷键对于软件使用来说是非常重要的,熟练使用快捷键对设计复杂的设计可以提供很多的便利,就可以不用每个命令都去菜单栏下面执行。下面例举的是一些常用的快捷键。1)常用VIEW视图快捷命令:
    发表于 04-14 11:19

    CAD角度标注快捷键是什么?怎么用?

    标注功能的相关操作技巧吧!CAD中角度标注快捷键的使用:浩辰给排水CAD软件中角度标注的CAD标注快捷键是:JDBZ,主要的作用是按逆时针方向标注两根直线之间的夹角,请注意按逆时针方向选择要标注的直线
    发表于 05-11 10:12

    以行李传送带为例设计CFC程序

    TIA portal V17新增了CFC编程语言。
    的头像 发表于 04-13 08:17 1879次阅读

    电工知识TIA(博途)Portal V17创建一个典型完整的项目

    依据原理图,用TIA博途软件创建一个新项目,实现启动控制功能
    的头像 发表于 03-07 10:08 4633次阅读

    TIA V17 Update 5的密码PLC和安全程序设置

    随着切换到TIA V17 Update 5,密码强度要求已进行了调整。
    的头像 发表于 01-25 10:27 390次阅读
    <b class='flag-5'>TIA</b> <b class='flag-5'>V17</b> Update 5的密码PLC和安全程序设置