0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

时序逻辑程序中推断组合逻辑?

OpenFPGA 来源:OpenFPGA 2023-02-20 10:38 次阅读

使用always_ff和在灵敏度列表中指定一个时钟边沿并不意味着过程中的所有逻辑都是时序逻辑。综合编译器将推断出每个被非阻塞赋值的变量的触发器。阻塞赋值也可能推断出触发器,这取决于赋值语句相对于程序中其他赋值和操作的顺序和上下文。

然而,在有些情况下,组合逻辑数据流行为将从时序逻辑程序中推断出来。

时序赋值右侧的操作符将综合为组合逻辑,其输出成为触发器的D输入。

如果时序分配的右侧调用一个函数,该函数将综合为组合逻辑,其输出成为触发器的D输入。

围绕着赋值语句的决策语句可能会综合为组合式多路复用逻辑,选择那个表达式作为触发器的D输入。

在begin-end语句组中对临时变量的阻塞赋值,将综合为组合逻辑,其中临时变量成为在begin-end中后续非阻塞赋值推断出的触发器的输入。

下面非例子说明了在begin-end语句组中对临时变量的阻塞赋值,将综合为组合逻辑。

257bcd5e-b0b6-11ed-bfe3-dac502259ad0.png

下面就是上面的例子中推断的示意图。

25a97614-b0b6-11ed-bfe3-dac502259ad0.png

对中间临时变量的阻塞赋值

审核编辑 :李倩

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 逻辑
    +关注

    关注

    2

    文章

    818

    浏览量

    29293
  • 触发器
    +关注

    关注

    14

    文章

    1677

    浏览量

    60402
  • 时序
    +关注

    关注

    5

    文章

    357

    浏览量

    36956

原文标题:【数字实验室】时序逻辑程序中推断组合逻辑?

文章出处:【微信号:Open_FPGA,微信公众号:OpenFPGA】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA之组合逻辑时序逻辑、同步逻辑与异步逻辑的概念

    数字电路根据逻辑功能的不同特点,可以分成两大类:一类叫做组合逻辑电路,简称组合电路或组合逻辑;另
    发表于 12-01 09:04 490次阅读

    如何避免组合逻辑程序中的意外锁存

    组合逻辑描述了门级电路,其中逻辑块的输出直接反映到该块的输入值的组合,例如,双输入AND门的输出是两个输入的逻辑与。如果输入值发生变化,输出
    的头像 发表于 12-15 10:03 1230次阅读

    RTL时序逻辑的综合要求

    数字门级电路可分为两大类:组合逻辑时序逻辑。锁存器是组合逻辑
    的头像 发表于 01-13 13:57 1883次阅读
    RTL<b class='flag-5'>时序</b><b class='flag-5'>逻辑</b>的综合要求

    FPGA中何时用组合逻辑时序逻辑

    数字逻辑电路分为组合逻辑电路和时序逻辑电路。时序逻辑
    发表于 03-21 09:49 526次阅读

    soc中的组合逻辑时序逻辑应用说明

    芯片设计是现代电子设备的重要组成部分,其中组合逻辑时序逻辑是芯片设计中非常重要的概念。组合逻辑
    的头像 发表于 08-30 09:32 878次阅读

    勇敢的芯伴你玩转Altera FPGA连载25:组合逻辑时序逻辑

    逻辑电路如图3.17所示,以上面的组合逻辑时序逻辑电路为例,输入信号x和y为随机信号,组合
    发表于 11-17 18:47

    【技巧分享】时序逻辑组合逻辑的区别和使用

    一般要求是时序逻辑)。在实际设计,为了便于操作,我们可以首先考虑用时序逻辑,看是否能满足设计要求。如果无法满足目标要求,需要凑
    发表于 03-01 19:50

    在FPGA何时用组合逻辑时序逻辑

    的。话不多说,上货。 在FPGA何时用组合逻辑时序逻辑 在设计FPGA时,大多数采用Verilog HDL或者VHDL语言进行
    发表于 03-06 16:31

    基于位串编码的遗传归纳逻辑程序设计

    归纳逻辑程序设计是基于一阶逻辑的数据挖掘新方法。一阶规则挖掘是目标谓词和背景知识谓词对应的各种原子的复杂组合优化问题。该文根据Occam’s razor原理提出原子的位串编码
    发表于 03-31 09:48 11次下载

    FPGA项目应用之逻辑程序和sdram程序

    FPGA项目应用之逻辑程序和sdram程序
    发表于 01-21 11:24 18次下载

    FPGA中组合逻辑时序逻辑的区别

    数字电路根据逻辑功能的不同特点,可以分成两大类,一类叫组合逻辑电路(简称组合电路),另一类叫做时序逻辑
    发表于 11-20 12:26 8666次阅读

    组合逻辑电路和时序逻辑电路比较_组合逻辑电路和时序逻辑电路有什么区别

    组合逻辑电路和时序逻辑电路都是数字电路,组合逻辑电路在逻辑
    发表于 01-30 17:26 9.2w次阅读
    <b class='flag-5'>组合</b><b class='flag-5'>逻辑</b>电路和<b class='flag-5'>时序</b><b class='flag-5'>逻辑</b>电路比较_<b class='flag-5'>组合</b><b class='flag-5'>逻辑</b>电路和<b class='flag-5'>时序</b><b class='flag-5'>逻辑</b>电路有什么区别

    组合逻辑电路和时序逻辑电路的区别和联系

    数字电路根据逻辑功能的不同特点,可以分成两大类,一类叫组合逻辑电路(简称组合电路),另一类叫做时序逻辑
    的头像 发表于 03-14 17:06 5140次阅读
    <b class='flag-5'>组合</b><b class='flag-5'>逻辑</b>电路和<b class='flag-5'>时序</b><b class='flag-5'>逻辑</b>电路的区别和联系

    时序逻辑电路有哪些 时序逻辑电路和组合逻辑电路区别

    时序逻辑电路是一种能够存储信息并根据时钟信号按照特定顺序执行操作的电路。它是计算机硬件中非常重要的一部分,用于实现存储器、时序控制器等功能。与之相对的是组合
    的头像 发表于 02-06 11:18 1034次阅读

    什么是组合逻辑电路和时序逻辑电路?它们之间的区别是什么

    什么是组合逻辑电路和时序逻辑电路?时序逻辑电路和组合
    的头像 发表于 03-26 16:12 369次阅读