0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

关于开源的AMBA(APB/AHB/AXI) VIP

sanyue7758 来源:处芯积律 作者:处芯积律 2022-12-08 11:24 次阅读

1.前言

当今的SoC芯片,普遍包含大量的工业标准接口同外围设备相连。在验证设计过程中,这些接口被用于和测试testbench相连接。这些沟通桥梁,被称为Verification IP (VIP) 的模块实现。VerificationIP (VIP)是一种特殊的IPCore。它将一个接口的BFM 和 TestHarnessfeatures 结合在一起。VIP在芯片验证中的应用场景众多,不管是在IPLevel还是在SoC Level随处可见它的身影,下面两幅图分别是VIP在模块级和系统级验证场景的示例。

cdeabc68-763a-11ed-8abf-dac502259ad0.png

ce1ff482-763a-11ed-8abf-dac502259ad0.png

关于VIP的好处,估计就不用我安利了,引用最近S家的一句广告语,“拥有VIP,无惧芯片设计挑战”。而在当今的芯片领域,用的最多的可能还是标准总线APB/AHB/AXI等。提到VIP,估计大家最先想到的就是Cadence和Synopsys了。没错,他们是目前最大的两家VIP提供商。不过,那是商业VIP。商业VIP虽好,但是贵呀!很多小公司的预算有限,很多时候都舍不得买,而我们个人想学习用那就更是买不起了。

不过好消息来了,我找到一些高质量的开源AMBA VIP,今天就分享给大家,供大家学习研究那是妥妥的没问题,有的甚至都已经成功的被用到一些公司的验证环境了。铺垫了这么多,接下来上干货。

2. APB VIP

2.1 https://github.com/seabeam/yuu_apb

ce8513b2-763a-11ed-8abf-dac502259ad0.png

2.2 https://github.com/amiq-consulting/amiq_apb

ce9dac88-763a-11ed-8abf-dac502259ad0.png

2.3 https://github.com/muneebullashariff/apb_vip

ceb5c764-763a-11ed-8abf-dac502259ad0.png

3.AHB VIP

3.1 https://github.com/seabeam/yuu_ahb

cecf4a9a-763a-11ed-8abf-dac502259ad0.png

3.2 https://github.com/GodelMachine/AHB2

cee90bd8-763a-11ed-8abf-dac502259ad0.png

3.3 https://github.com/rahulagnisys/ahb_vip

cf022960-763a-11ed-8abf-dac502259ad0.png

4.AXI VIP

4.1 https://github.com/luuvish/amba3-vip

cf302bee-763a-11ed-8abf-dac502259ad0.png

4.2 https://github.com/taichi-ishitani/tvip-axi

cf6a761e-763a-11ed-8abf-dac502259ad0.png

4.3 https://github.com/muneebullashariff/axi4_vip

cf8e5e12-763a-11ed-8abf-dac502259ad0.png

4.4 https://github.com/kumarrishav14/AXI

cfa5280e-763a-11ed-8abf-dac502259ad0.png

4.5 https://github.com/OSVVM/AXI4

cfbc8206-763a-11ed-8abf-dac502259ad0.png

4.6 https://github.com/ATaylorCEngFIET/mz_365/tree/master/AXI_VIP

https://github.com/ATaylorCEngFIET/mz_365

cfd07bee-763a-11ed-8abf-dac502259ad0.png

4.7 https://github.com/nahidrn/axi_vip_master

cfdf9e62-763a-11ed-8abf-dac502259ad0.png

4.8 https://github.com/SymbiFlow/axi-vip

cff9d70a-763a-11ed-8abf-dac502259ad0.png

4.9 https://github.com/muneeb-mbytes/axi4_avip

d00b841e-763a-11ed-8abf-dac502259ad0.png

5如何获得这些VIP

如果你担心github在国内的网络不稳定,或者嫌一个一个下载太麻烦,那么没关系,我都已经帮你下载好了,关注微信公众号《芯片验证日记》,后台回复”vip”(vip全小写),即可获得百度网盘连接。目录如下图所示:

d02225f2-763a-11ed-8abf-dac502259ad0.png

编辑:黄飞

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • SoC芯片
    +关注

    关注

    1

    文章

    535

    浏览量

    34478
  • 系统级验证
    +关注

    关注

    0

    文章

    2

    浏览量

    5548

原文标题:分享一些开源的AMBA(APB/AHB/AXI) VIP

文章出处:【微信号:处芯积律,微信公众号:处芯积律】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    什么是AMBA APB4与AMBA3 AHB-Lite1.0协议

    本文我们简单对AMBA4中的APBv2.0(也称为APB4),以及AMBA3AHB-Litev1.0进行简单的了解。
    发表于 04-02 06:30

    AXIAHBAPB的性能有什么不同?

    什么是AMBAAMBA分为哪几种?AXIAHBAPB的性能有什么不同?AHB总线是如何组成
    发表于 06-18 06:55

    ARM总线协议AMBAAHBAPB的区别与联系

    , ASB, APB);Qchannel文章目录1 AMBA总线2 AHB2.1 一个典型的基于AHB的微控制器2.2 AHB总线互联结构:
    发表于 02-09 07:46

    AMBAAPBAHB简介

    STM32菜鸟学习手册——1、AMBAAPBAHB简介芯片上总线标准种类繁多,而由ARM公司推出的AMBA片上总线受到了广大IP开发商和SoC系统集成者的青睐,已成为一种流行的工业
    发表于 02-17 07:18

    AMBA AHB总线与APB总线资料合集

    使用的是 SystemVerilog 描述。2、AMBA APB总线信号接口介绍前面分析了AHB总线协议。接下来分析APB总线协议。  PCLK A
    发表于 04-07 10:03

    PrimeCell基础设施AMBA 2 AHBAMBA 3 AXI桥(BP136)技术概述

    AMBA™2 AHB™至AMBA 3 AXI™桥使AHB主机能够与AXI从机或互连进行通信(如果
    发表于 08-21 06:43

    AMBA总线AHBAPBAXI性能对比分析及AHB详解

    AMBA (Advanced Microcontroller Bus Architecture) 高级处理器总线架构 AHB (Advanced High-performance Bus) 高级
    发表于 11-15 12:26 3.1w次阅读

    浅述AMBA-APB总线

    的,使用它连接到不需要 AXI 协议高性能的低带宽外设。 APB 协议将信号转换与时钟的上升沿相关联,以简化将 APB 外设集成到任何设计流程中的过程。每次传输至少需要两个周期。APB
    的头像 发表于 07-23 10:04 1955次阅读
    浅述<b class='flag-5'>AMBA-APB</b>总线

    数字IC验证:ARM总线协议AMBAAHBAPB的简介、区别与联系

    , ASB, APB);Qchannel文章目录1 AMBA总线2 AHB2.1 一个典型的基于AHB的微控制器2.2 AHB总线互联结构:
    发表于 12-05 15:36 16次下载
    数字IC验证:ARM总线协议<b class='flag-5'>AMBA</b>中<b class='flag-5'>AHB</b>、<b class='flag-5'>APB</b>的简介、区别与联系

    AHBAXIAPB分类

    的集成环境当中用于构建SOC系统,是ARM公司提出的开放性的片上总线标准,它是用来连接芯片内核和集成环境当中其他元件的连接线,并非芯片或者SOC与外部连接的接口,其具有高速度低功耗等特点。 根据AMBA总线的发展主要分为以下总线协议:AHB ASB
    发表于 12-20 19:11 12次下载
    <b class='flag-5'>AHB</b>、<b class='flag-5'>AXI</b>、<b class='flag-5'>APB</b>分类

    STM32菜鸟学习手册——AMBAAPBAHB简介

    STM32菜鸟学习手册——1、AMBAAPBAHB简介芯片上总线标准种类繁多,而由ARM公司推出的AMBA片上总线受到了广大IP开发商和SoC系统集成者的青睐,已成为一种流行的工业
    发表于 12-22 19:09 16次下载
    STM32菜鸟学习手册——<b class='flag-5'>AMBA</b>、<b class='flag-5'>APB</b>、<b class='flag-5'>AHB</b>简介

    一些高质量的AMBA(APB/AHB/AXI) VIP分享

    关于VIP的好处,估计就不用我安利了,引用最近S家的一句广告语,“拥有VIP,无惧芯片设计挑战”。而在当今的芯片领域,用的最多的可能还是标准总线APB/
    的头像 发表于 12-06 14:58 1166次阅读

    关于高质量的AMBA(APB/AHB/AXI) VIP的分享

    AMBA VIP 分享
    的头像 发表于 12-10 20:47 2402次阅读
    <b class='flag-5'>关于</b>高质量的<b class='flag-5'>AMBA</b>(<b class='flag-5'>APB</b>/<b class='flag-5'>AHB</b>/<b class='flag-5'>AXI</b>) <b class='flag-5'>VIP</b>的分享

    AXI总线协议:AHBAPBAXI对比分析

    V1.0 ASB、APB是第一代AMBA协议的一部分。主要应用在低带宽的外设上,如UART、 I2C,它的架构不像AHB总线是多主设备的架构,APB总线的唯一主设备是
    发表于 04-14 10:54 3044次阅读

    如何验证AMBA系统级环境

    为了使 SOC 验证工程师能够创建高度可配置的 AMBA 结构,系统环境应提供占位符,用于将 DUT 与任何典型的 AMBA VIP 组件(如 AXI3/4/ACE、
    的头像 发表于 05-29 09:33 447次阅读
    如何验证<b class='flag-5'>AMBA</b>系统级环境