0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

利用vcs+verdi仿真工具蜂鸟E200系列处理器仿真分析

sally100 来源:数字ICer 作者:数字ICer 2022-11-17 10:28 次阅读

开源RISC-V Hummingbird E203(蜂鸟E203)的仿真工具是开源的iverilog,这里利用vcs+verdi仿真工具进行仿真;

蜂鸟 E200 主要面向极低功耗与极小面积的场景,非常适合于替代Cortex-M 系列内核应用于IoT或其他低功耗场景。

E200系列处理器核使用Verilog语言,采用两级流水线结构,通过一流的处理器架构设计CPU的功耗与面积均优于同级ARM Cortex-M核, 实现业界最高的能效比与最低的成本;

E200 系列处理器核能够运行RISC-V指令 ,支持RV321/E/A/M/C/F/D 等指令子集的配置组合,支持机器模式(Machine Mode Only);

E200 系列处理器核提供标准的JTAG调试接口以及成熟的软件调试工具;

E200 系列处理器核提供成熟的GCC编译工具链;

E200 系列处理器核配SoC提供紧耦合系统 IP 模块,包括中断控制器、计时器UART,QSPI,PWM等,即时能用(Ready-to-Use)的soc平台与PPGA原型系统。

a8085366-65c8-11ed-8abf-dac502259ad0.png

E200系列处理器Soc示意图

目录:

a84d6442-65c8-11ed-8abf-dac502259ad0.png

项目目录

修改

1.修改e200_opensource-master/vsim/bin文件中的run.makefile,修改vcs,verdi仿真工具和仿真选项,如下:

a86995e0-65c8-11ed-8abf-dac502259ad0.png

a8978bbc-65c8-11ed-8abf-dac502259ad0.png

2.e200_opensource-master/tb/tb_top.v增加dump波形

a8c5f2fe-65c8-11ed-8abf-dac502259ad0.png

仿真

步骤1:编译 RTL 代码,使用如下命令:

进入到 e200_opensource 目录文件夹下面的 vsim 目录。

make install CORE=e203

运行该命令指明需要为e203进行编译,该命令会在vsim目录下生成一个install子文件夹,在其中放置所需的脚本,且将脚本中的关键字设置为e203。

make compile

运行该命令编译Core和 SoC的RTL代码

步骤2:运行默认的一个testcase(测试用例),使用如下命令:

make run_test

make run_test将执行e200_opensource/riscv-tools/riscv-tests/isa/generated目录中的一个默认testcase,如果测试用例运行通过则打印PASS,并生成波形,如下图,

a8e1b50c-65c8-11ed-8abf-dac502259ad0.png

步骤3:打开verdi,加载波形

使用如下命令:make wave

a916227e-65c8-11ed-8abf-dac502259ad0.png

如果希望运行所有的回归测试,请参见步骤4。

步骤4 运行回归 regression 测试集 ,使用如下命令

make regress_run CORE=e203

该命令使用e200_opensource/riscv-tools/riscv-tests/isa/generated目录中E203 Core testcases 逐个的运行各testcase.

步骤5:查看回归测试结果

make regres_ collect CORE=e203

该命令将收集步骤4中运行的测试集的结果,每行对应一个测试用例,如果测试用例运行通过则打印 PASS ,如果运行失败则打印 FAIL;

a94f0990-65c8-11ed-8abf-dac502259ad0.png

审核编辑:郭婷

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 处理器
    +关注

    关注

    68

    文章

    18298

    浏览量

    222210
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132166

原文标题:开源RISC-V Hummingbird E203 | vcs+verdi仿真

文章出处:【微信号:数字ICer,微信公众号:数字ICer】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    VCS 仿真option 解析

    VCS仿真选项分编译(compile-time)选项和运行(run-time)选项。编译选项用于RTL/TB的编译,一遍是编译了就定了,不能在仿真中更改其特性,例如define等等。
    的头像 发表于 01-06 10:19 542次阅读

    求助,如何解决J-Link调试蜂鸟E203失败的问题?

    首先讲一下我的操作过程吧。 首先,我下载了开源的蜂鸟E203处理器,新建了一个自己的vivado工程,并将rtl目录里的所有.v文件和fpga目录里的一个system.v文件加入到我的工程里,并添加
    发表于 08-16 08:23

    在Linux上用vcs+verdi对demo_nice进行仿真没有成功的原因?

    请教大神,我在Linux上用vcs+verdi对demo_nice进行仿真,但是没有成功 我是用hibrd.sdk把demo_nice编译成.verilog文件的,其内容如下 另外我还对tb
    发表于 08-12 08:07

    蜂鸟e203的uart为什么没有使能寄存

    1.蜂鸟e203的uart为什么没有使能寄存?按照手册设置了所有寄存后,uart完全不工作。使用Modelsim仿真后发现,uart的P
    发表于 08-12 07:32

    蜂鸟e203处理器开源代码中恶的Makefile编译脚本和测试脚本怎么修改?

    求助蜂鸟e203处理器开源代码中恶的Makefile编译脚本和测试脚本怎么修改?有没有大佬指点一下,万分感谢
    发表于 08-12 06:52

    请问如何更新bin/run.makefile以支持VCS+Verdi工具

    如何更新bin/run.makefile以支持VCS+Verdi工具
    发表于 08-11 10:08

    使用vivado的仿真器仿真时,modelsim的transcript界面无法输出C程序的printf语句是为什么?

    我现在将vivado和modelsim做了联合仿真,用来仿真蜂鸟e203协处理器扩展实现的功能。现在的问题是:使用vivado的
    发表于 08-11 06:44

    记录VCS仿真的IP核只有VHDL文件的解决方法

    使用VCS仿真Vivado里面的IP核时,如果Vivado的IP核的仿真文件只有VHDL时,仿真将变得有些困难,VCS不能直接
    的头像 发表于 06-06 11:15 1443次阅读
    记录<b class='flag-5'>VCS</b><b class='flag-5'>仿真</b>的IP核只有VHDL文件的解决方法

    VCS独立仿真Vivado IP核的一些方法总结

    最近,需要使用VCS仿真一个高速并串转换的Demo,其中需要用到Vivado的SelectIO IP核以及IDELAYCTRL,IDELAY2原语。而此前我只使用VCS仿真过Quart
    的头像 发表于 06-06 11:09 1738次阅读
    <b class='flag-5'>VCS</b>独立<b class='flag-5'>仿真</b>Vivado IP核的一些方法总结

    Verdi环境配置、生成波形的方法

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用的就是VCS+Verdi或或者Xcelium(xrun)+
    的头像 发表于 05-29 09:48 2315次阅读
    <b class='flag-5'>Verdi</b>环境配置、生成波形的方法

    如何用vcs+verdi仿真Verilog文件

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形。 源文件内容如下:
    的头像 发表于 05-11 17:03 1452次阅读
    如何用<b class='flag-5'>vcs+verdi</b><b class='flag-5'>仿真</b>Verilog文件

    如何用vcs+verdi仿真Verilog文件并查看波形呢?

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形。
    的头像 发表于 05-08 16:00 4561次阅读
    如何用<b class='flag-5'>vcs+verdi</b><b class='flag-5'>仿真</b>Verilog文件并查看波形呢?

    全网最实用的Verdi教程3

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用VCS+Verdi或者Xcelium/xrun+Verd
    的头像 发表于 05-05 14:53 3763次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程3

    全网最实用的Verdi教程2

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用VCS+Verdi或者Xcelium/xrun+Verd
    的头像 发表于 05-05 14:53 4969次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程2

    全网最实用的Verdi教程1

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用VCS+Verdi或者Xcelium/xrun+Verd
    的头像 发表于 05-05 14:49 1.4w次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程1