0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

SystemVerilog中class的基本概念

芯片验证工程师 来源:芯片验证工程师 作者:芯片验证工程师 2022-11-14 09:11 次阅读

class,是面向对象编程(object-oriented programming (OOP))的基础,而OOP可以让你创建更高抽象级别的验证环境(如UVM)。

class就是相对于verilog更高级别的抽象,因为verilog太过关注细节,不利于验证和建模。

随着SystemVerilog中class的引入,这一切都变了。

class包括变量(类属性,properties)和子程序(类方法,methods)


SystemVerilog中的类方法一般就是SystemVerilog task(可能消耗时间)/function(不能消耗时间)。

简言之,类属性和类方法定义了这个类有什么以及能够干什么

通过类属性和类方法,我们可以更加容易地创建模块化的验证平台,因为在事务级而不是RTL级别,能够更容易理解设计和编码验证用例。

一般,类中会有一个构造函数(new,我们可以理解为RTL中module的例化,只有调用构造函数后,才真正存在类的实体,在这之前就只是一个文本的定义而已。

在一个类没有指向任何的对象实体时,Systemverilog的垃圾回收机制会自动地释放相应的内存空间。

Base Class

基类是类层次结构中最顶层的类,从这个基类可以派生出更加丰富多彩的派生类。
下面是一个基类PCI的例子,类中有command、address,data,CycleType等属性。此外,这个基类还可以基于这些属性,做各种各样的事情,例如命令的发送。

每个设计中PCI总线支持的特性都是不同的,但是作为一个基类,PCI具有一些可以统一封装在定义中的公共属性和方法。

 module class_TOP( );
 
 class PCI;
    //Class properties
    logic [3:0] command;
    logic [31:0] address;
    logic [31:0] data;
    logic [3:0] CycleType;
    //base class constructor -  initialization
    function new( );
        command = 0;
        address = 0;
        CycleType = 4'hf;
        data = 64'bz;
        $display("PCI Init: data=%h command=%b addr=%h 
CycleType=%b", data, command, address, CycleType);
    endfunction
 task PCIWriteCycle (clk);
 begin
    command = $urandom;
    address = $urandom;
    CycleType = $urandom;
    $display("PCI Write Cycle : clk=%b data=%h command=%b 
addr=%h CycleType=%b", clk, data, command, address, CycleType);
 end
 endtask
 endclass : PCI
 
 bit clock;
 PCI PCI1; //defne variable PCI1 of type PCI
 initial begin
    PCI1 = new( ); //instantiate class – allocate memory
 //PCI1 now holds an object handle.
 end
 initial begin
    clock = 0;
    forever begin
    #10; clock=!clock;
 end
 
 end
 always @(posedge clock) begin
 //access class property using object handle PCI1
    PCI1.data = $urandom;
 //Call Class method PCIWriteCycle
    PCI1.PCIWriteCycle(clock);
 end
 
 initial #60 $finish(2);
 endmodule

1、首先,我们声明一个名为PCI的类。在这个类中,我们声明了类属性:

 logic [3:0] command;
 logic [31:0] address;
 logic [31:0] data, mem;
 logic [3:0] CycleType;

2、然后声明类构造函数new(),用于初始化类属性。如果不声明,当类被实例化时会调用隐式的new()函数。在本例中,函数new()将初始化为类中各个属性的默认值。2态变量初始化为0,4态变量初始化为x。

function new( );
 command = 0;
 address = 0;
 CycleType = 4'hf;
 data = 64'bz;
 $display("PCI Init: data=%h command=%b addr=%h 
CycleType=%b", data, command, address, CycleType);
 endfunction

3、然后定义一个名为PCIWriteCycle的方法,这里是一个systemverilog function。在这个方法我们我们完成一些类属性的简单赋值,从设计的含义上就是随机发送不同的命令/地址/传输类型等信息

task PCIWriteCycle (clk);
 begin
 command = $urandom;
 address = $urandom;
 CycleType = $urandom;
 $display("PCI Write Cycle : clk=%b data=%h command=%b 
addr=%h CycleType=%b", clk, data, command, address, CycleType);
 end
 endtask

4、在类定义之后,我们继续声明一个类的对象并示例化。注意:只有在调用new()之后才分配了实际的内存,PCI1(对象)就是一个指向这个内存空间的指针(或者是句柄,handle)。而如果不示例化,变量PCI1就是一个空指针“null”

PCI PCI1; //defne variable PCI1 of type PCI
PCI1 = new( ); //instantiate class – allocate memory

5、然后,我们使用对象句柄PCI1去调用类的方法PCIWriteCycle。

always @(posedge clock) begin
 //access class property using object handle PCI1
 PCI1.data = $urandom;
 //Call Class method PCIWriteCycle
 PCI1.PCIWriteCycle(clock);
end

仿真log:

PCI Init: data=zzzzzzzz command=0000 addr=00000000 CycleType=1111
PCI Write Cycle : clk=1 data=12153524 command=0001 addr=8484d609 
CycleType=0011
PCI Write Cycle : clk=1 data=06b97b0d command=1101 addr=b2c28465 
CycleType=0010
PCI Write Cycle : clk=1 data=00f3e301 command=1101 addr=3b23f176 
CycleType=1101
$fnish called from fle "testbench.sv", line 52.
$fnish at simulation time 60
 V C S S i m u l a t i o n R e p o r t

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Verilog
    +关注

    关注

    28

    文章

    1326

    浏览量

    109298
  • System
    +关注

    关注

    0

    文章

    161

    浏览量

    36571
  • Class
    +关注

    关注

    0

    文章

    52

    浏览量

    19517
收藏 人收藏

    评论

    相关推荐

    微带的基本概念

    微带的基本概念 如果说带线可以看成是由同轴线演变而成的,那么,微带则可以看成是双导线演化而成的。 [/hide]  
    发表于 11-02 16:11

    Proteus涉及的基本概念

    Proteus涉及的基本概念
    发表于 08-01 20:58

    电子元件基本概念和原理

    电子元件基本概念和原理
    发表于 08-05 21:25

    Fpga Cpld的基本概念

    Fpga Cpld的基本概念
    发表于 08-20 17:14

    C语言基本概念

    C语言基本概念
    发表于 08-01 02:00

    EMI的基本概念

    摘 要: 介绍了电磁干扰(EMI)的基本概念、危害及抑制技术,指出了强化管理,发展EMI抑制技术的重要意义。关键词:电磁干扰;抑制技术;EMC标准;管理1 电磁干扰基本概念在复杂的电磁环境,任何
    发表于 05-30 06:28

    数据结构的基本概念是什么

    数据结构之基本概念
    发表于 05-27 08:29

    阻抗控制相关的基本概念

    阻抗控制部分包括两部分内容:基本概念及阻抗匹配。本篇主要介绍阻抗控制相关的一些基本概念
    发表于 02-25 08:11

    智能天线的基本概念

    1智能天线的基本概念 智能天线综合了自适应天线和阵列天线的优点,以自适应信号处理算法为基础,并引入了人工智能的处理方法。智能天线不再是一个简单的单元,它已成为一个具有智能的系统。其具体定义为:智能
    发表于 08-05 08:30

    CODESYS的基本概念有哪些

    CODESYS是什么?CODESYS的基本概念有哪些?CODESYS有哪些功能?
    发表于 09-18 06:52

    单片机中断的基本概念

    文章目录一.中断的基本概念二.中断相关的寄存器三.中断的实际使用四.中断的优点:一.中断的基本概念1.中断的概念:在单片机,中断是指:对于CPU来说,当它在正常处理事件A时,突然发生
    发表于 11-25 08:14

    讲解一下A/D和 D/A的基本概念

    文章目录前言A/D 和 D/A 的基本概念前言今天给大家讲解一下,单片机的基础概念,A/D 和 D/A 的基本概念。A/D 和 D/A 的基本概念
    发表于 11-25 06:31

    STM32的中断系统基本概念

    STM32 中断系统概述笔记(一)中断概述中断相关的基本概念STM32的中断系统基本概念:NVIC 嵌套向量中断控制器中断通道中断优先级优先级分组EXTI 外部中断控制器三种外部中断触发方式引脚分组
    发表于 01-07 07:32

    Systemverilogclass类型的记录

    class里面包含data和对data进行操作的subroutines(functions and tasks)。class的data称为class properties,subroutines称为methods。两者都是
    的头像 发表于 05-24 14:29 383次阅读
    <b class='flag-5'>Systemverilog</b>里<b class='flag-5'>class</b>类型的记录

    基本概念.zip

    基本概念
    发表于 12-30 09:21 2次下载