0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何基于DDFS实现精确正弦波发生器的设计

星星科技指导员 来源:嵌入式计算设计 作者:Patrick Butler 2022-07-24 16:51 次阅读

分辨率优于 16 位的高精度快速模数转换器ADC) 的交流性能测试和验证需要一个近乎完美的正弦波发生器,至少能够覆盖 0 kHz 至 20 kHz 音频带宽。通常,使用昂贵的实验室仪器来执行这些评估和表征,例如 Audio Precision 的音频分析仪 AP27xx 或 APx5xx 系列。大多数时候,具有 24 位或更多位的现代高速 SAR 和宽带 Σ-Δ (Σ-Δ) ADC 具有单电源和全差分输入,因此要求用于 DUT 的信号源为直流和交流准确,同时提供全差分输出(180°异相)。同样,这个交流发生器的噪声和失真水平应该比这些 ADC 的规格要好得多,根据大多数供应商的规范,在 1 kHz 或 2 kHz 和高达 20 kHz 的输入音调频率下,本底噪声电平远低于 –140 dBc,失真低于 –120 dBc。图 1 显示了适用于高分辨率宽带 ADC 的典型台架测试设置的典型配置。最关键的组件是正弦波发生器(单音或多音),此处基于软件的直接数字合成器 (DDS) 可以提供非常灵活的频率分辨率和与数据采集系统的时钟同步,以执行相干采样以避免泄漏和 FFT 窗口滤波。

只需音频精密分析仪的一小部分成本,就可以设计一个非常精确的正弦波发生器处理器。一个相当快的浮点 DSP 将满足实时预期并满足所有算术和处理条件,以达到最先进的 SAR ADC 设置的失真和噪声性能水平。利用 32 位或 64 位定点格式的全字数据长度架构的 NCO 相位累加和扩展的精密浮点 DSP 功能来执行正弦逼近函数和用于整形的数字滤波器频谱,

直接数字频率合成

Joseph A. Webb1 于 1970 年 4 月提交的数字信号发生器合成器专利描述了可以被视为 DDS 机制的基础,只需使用几个数字逻辑模块即可生成各种类型的模拟波形,包括正弦波。然后,在 1971 年初,Tierney 等人 2 的经常引用的参考论文发表了关于通过深化正交生成的 DDS 操作以及采样系统理论的局限性(字截断和频率规划)来直接生成数字频率的文章。实际实现开始出现,主要依赖于分立的标准逻辑 IC,例如 TTL 74xx 或 ECL 10K 系列。不到 10 年后,斯坦福电信、高通、Plessey、和 ADI 公司的 AD9950 和 AD9955。逻辑 IC 架构旨在实现最佳速度、功率和成本折衷,基于查找表 (LUT),以确保相位、频率和幅度分辨率有限的相位到正弦幅度转换。如今,DDS 独立集成电路很容易获得,而数控振荡器 (NCO) 往往大量集成在 RF DAC 中,例如AD9164或AD9174。尽管它们在多个 GHz 带宽上的噪声和线性度性能令人印象深刻,但这些器件都不适合测试LTC2378-20、AD4020或AD7768等中等速度、高分辨率 ADC 。

poYBAGLdCC6AQ47vAADYoq6jmIA601.png

图 1. 基于 IEEE 1241 标准的典型 ADC (ac) 测试设置的处理链。DDFS 使整个测量系统完全数字化,具有很多优点,包括完全的灵活性和连贯的采样采集。

与传统的基于 PLL 的合成器相比,NCO 和 DDS 以其非常精细的频率分辨率、快速的灵活性和易于生成完美正交的正弦/余弦而闻名。它们还因其宽带宽覆盖和直流精度而备受赞誉。它们的工作原理由数字信号处理和采样系统理论支配,它们的数字特性允许对输出信号的相位、频率和幅度进行完全数字化和独立控制。图 2 的框图描述了传统 DDS 的架构,它由三个主要功能组成:

N位相位累加器;

一种相位到正弦幅度转换器,其特征在于 W 位截断相位输入字;

一个 D 位 DAC 及其相关的重构滤波器。

相位累加器是围绕一个简单的 N 位加法器和一个寄存器构建的,该寄存器的内容以采样时钟 FCLK 的速率更新,输入相位增量 Δθ,通常也称为频率调谐字 (FTW)。累加器可以周期性溢出并像采样或参考时钟 FCLK 和 DDS 输出频率 FOUT 之间的小数分频器一样运行,或者像齿轮箱一样分频比等于:

相位累加器寄存器的输出代表生成波形的当前相位。由于相位到正弦或相位到余弦映射器引擎,每个离散累加器输出相位值随后被转换为幅度正弦或余弦数据或样本。此功能通常通过存储在 LUT (ROM) 中的三角函数值来实现,有时通过执行正弦逼近算法或两者的组合来实现。相位到正弦幅度转换器的输出馈入 DAC,DAC 在滤波之前产生量化和采样的正弦波,以平滑信号并避免频谱混叠。DAC 有限分辨率施加的这种幅度量化对本底噪声和合成器的最终信噪比 (SNR) 设置了理论限制。此外,作为混合信号设备,

基于图 2 架构的实际正弦波形发生器实现的主要区别在于相位幅度转换器模块,由于数字无线电应用的市场导向,该模块通常针对速度和功耗而不是高精度进行优化。实现相位到正弦幅度转换器的最简单方法是使用 ROM 来存储具有一对一映射的正弦值。不幸的是,LUT 的长度随着相位累加器的宽度 N 和波表数据字精度 W 呈指数增长 (2N)。不幸的是,在减小累加器大小或截断其输出方面进行权衡会导致频率分辨率的损失和 SFDR 的严重退化。结果表明,由相位或幅度量化引起的杂散遵循 –6 dB/bit 的关系。由于通常需要大的 N 来实现精细的频率调谐,因此已经推广了几种技术来限制 ROM 大小,同时保持足够的杂散性能。简单的压缩方法通常通过利用正弦或余弦函数的四分之一波对称性来将相位参数范围缩小 4。为了进一步缩小范围,相位累加器输出的粗略截断是事实上的方法,尽管它确实引入了杂散谐波。尽管如此,由于精细的频率分辨率要求、内存大小和成本折衷,这种方法总是被采用。已经提出了各种角度分解方法来降低基于 LUT 的方法的内存要求。结合使用各种类型的分段、线性或多项式插值的幅度压缩,其想法是在 I/Q 合成的情况下准确逼近正弦函数的第一象限或 [0, π/4] 区间需要正弦和余弦函数。类似地,无需 ROM LUT 的复杂信号生成由基于角度旋转的算法有效支持,只需在逐次逼近方案中调用移位和相加操作。以流行的 CORDIC 为代表的这种方法通常比其他方法更快,当硬件乘法器不可用时,或者出于速度或成本考虑,实现功能所需的门数应最小化(在 FPGAASIC 中)。反过来,

poYBAGLdCEWAHCh-AADhIDn_FBk148.png

图 2. NCO 的主要功能部分以及与完整直接数字合成器的区别,其中包括重建 DAC 及其相关的 AAF。NCO 部分可用于测试或激励 DAC。

审核编辑:郭婷

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5042

    浏览量

    117813
  • dac
    dac
    +关注

    关注

    43

    文章

    1973

    浏览量

    189578
  • 分频器
    +关注

    关注

    43

    文章

    433

    浏览量

    49324
收藏 人收藏

    评论

    相关推荐

    正弦波调制和方波调制的有效值怎么决定?

    以载波三角和调制正弦波比较生成PWM,生成的PWM波形的有效值是和什么有关?或者说生成的PWM有效值是由什么控制决定的? 怎么用TITA-TA产生一个占空比可调的方波信号,
    发表于 04-09 16:54

    STM32H7A3RGT6的16位AD去采集由信号发生器产生的正弦波,为什么得到的数据曲线每隔固定的值就会出现一次跳变?

    用STM32H7A3RGT6芯片的16位AD去采集由信号发生器产生的正弦波,得到的数据曲线每隔固定的值就会出现一次跳变。波形由信号发生器直接给到芯片的引脚,中间没有其他干扰。现在怀疑这颗芯片的AD本身存在问题,有没有人也遇到过类
    发表于 04-03 08:13

    信号发生器给采样电路输入正弦波,输出的正弦波有畸变,在零点处保持一段为0,并且幅值有衰减,搞不清楚原因?

    测试采样电路,用信号发生器在R65和R67两侧加上有效值为4V的正弦波,R65和R67分压输出的IL-A-T在零点处有一段一直为0,并且此时用示波器测量两个电阻两端电压有效值衰减到1.06V,后面接了两级运放,运放输出波形和电路原理图也贴出,新人帖子,积分很少,请多包涵。
    发表于 03-27 10:40

    基于FPGA 的DDS正弦信号发生器的设计和实现

    电子发烧友网站提供《基于FPGA 的DDS正弦信号发生器的设计和实现.pdf》资料免费下载
    发表于 03-24 09:34 3次下载

    LC正弦波滤波和LCL滤波单元

    正弦波滤波的原理及应用,LC滤波与LCL滤波的区别? LC滤波正弦波滤波
    发表于 03-09 15:55

    使用TC275的载波发生器,载波发生器输出的正弦波发生相位移动的原因?

    我们在使用TC275的载波发生器(29.9.1 Carrier Signal Generation)时遇到了一些问题,我们发现载波发生器输出的正弦波(配置频率为16KHZ)会发生相位移
    发表于 02-04 08:59

    正弦波和方波晶振能否互相替代?

    和应用。 一、正弦波晶振 正弦波晶振产生的是连续且平滑的正弦波形状。它可以通过正弦波发生器实现
    的头像 发表于 01-31 09:11 300次阅读

    ADuC7020一端接信号发生器,一端接示波器,为什么输入正弦波下载程序后输出为一条直线?

    ADuC7020一端接信号发生器,一端接示波器,为什么输入正弦波,下载程序后输出为一条直线。Reset之后是一个幅度为2.5V(正好为电压参考值Vref)的锯齿。请问是程序的问题?还是配置有问题?还是单片机的问题呢?求解答。
    发表于 01-11 06:54

    利用AD9914产生正弦波,最后输出的正弦波为什么感觉有调制?

    您好,外部晶振50M输入AD9914后,通过内部倍频作为内部时钟,用的串行编程想输出的正弦波。最后输出的正弦波为什么感觉有调制?我是利用单频模式,选择了profile0。
    发表于 12-11 08:09

    使用信号发生器提供一个±1.5V的1KHz正弦波,经过带通滤波会有振荡是怎么引起的?

    使用信号发生器提供一个±1.5V的1KHz正弦波,经过一个500Hz-4500Hz的带通滤波(不接滤波后面的振荡时间更长),再进入AD8561的过零比较(也试过0.7V回滞比较出现
    发表于 11-20 06:24

    直流无刷电机简易正弦波控制介绍

    ,因此电机相电流也为正弦波。通过控制电机相电压的幅值以及相位来控制电流的相位以及幅值,为电压环控制,实现较为简单。(2)复杂正弦波控制:与简易正弦波控制不同,复杂的
    发表于 09-28 06:46

    正弦波信号过变压发生畸变

    1.4k正弦波信号,经过变压波形会发生畸变,有没有大佬知道原因呀,输入信号到一定幅值就会出现,
    发表于 08-08 16:51

    用一个三极管制作正弦波电路发生器

    本篇分享一款采用单个三极管制作一款超低成本的正弦波电路、发生器,见下图:正弦波电路、发生器电路解析1.该电路,重要的地方在于三极管发射极连接的那个电容器。2.该电路可以产生:10H~2
    的头像 发表于 07-31 23:43 1277次阅读
    用一个三极管制作<b class='flag-5'>正弦波</b>电路<b class='flag-5'>发生器</b>

    正弦波发生器电路原理图讲解

    数字正弦波发生器(振荡器)电路的优点是,只需很少的元件即可生成具有高幅度常数且在非常宽的频率范围内可变的信号。此处所示电路产生正弦波信号。其他信号形式也可以通过改变R1的值来生成。
    的头像 发表于 07-25 15:30 2805次阅读
    <b class='flag-5'>正弦波</b><b class='flag-5'>发生器</b>电路原理图讲解

    MCU内部ADC采样正弦波

    使用一款MCU芯片的内部ADC功能对正弦波采样,这个输入正弦波是选择交流还是直流?ADC应该是选择单次转换还是连续转换模式?目前对设置了一定频率与幅度的正弦波输入,但通过串口只会输出这个正弦波
    发表于 05-24 17:15