0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

UART的发送数据模块与接收模块

FPGA之家 来源:FPGA之家 作者:FPGA之家 2022-07-01 17:08 次阅读

UART

Uart比较简单,所以仅对tx作比较详细的注释,但里面一些内容还是值得新手学习的

1开始位(低电平)+8位数据+1停止位(高电平,这里选的是一个周期高电平,也可两个)(无校验位)

1、prescale是完成一个bit需要主时钟计数的次数(其和主时钟以及波特率之间的关系参考网上文章)

2、进入uart模块的异步信号,最好使用提供的同步器同步

3、异步复位信号最好使用提供的同步器同步

4、波特率任意选,只要时钟够大,能够符合误码率计算即可,这里使用的是125Mhz

5、基本的思想就是移位

6、传输条件就是握手

7、如果使用Xlinx的片子,建议使用全局时钟资源(IBUFG后面连接BUFG的方法是最基本的全局时钟资源的使用方法)

8、这个完整的代码就是使用IBUFG+BUFG

9、传输虽然简单,但对于新手来讲,还是有挺多的知识点值得学习的点

10、公众号只是对代码进行了简单注释

UART的发送数据模块

// 欢迎大家关注公众号:AriesOpenFPGA// Q群:808033307// Language: Verilog 2001
// 代码注释有些匆忙,如有错误注释还请批评,仅作参考// UART// 1开始位+8位数据+1停止位(无校验)// prescale是完成一个bit需要主时钟计数的次数(其和主时钟以及波特率之间的关系参考网上文章)// 进入uart模块的异步信号,最好使用提供的同步器同步// 异步复位信号最好使用提供的同步器同步// 波特率任意选,只要时钟够大,能够符合误码率计算即可,这里使用的是125M// 基本的思想就是移位// 传输条件就是握手// 如果使用Xlinx的片子,建议使用全局时钟资源(IBUFG后面连接BUFG的方法是最基本的全局时钟资源的使用方法)// 这个完整的代码就是使用IBUFG+BUFG// 传输虽然简单,但对于新手来讲,还是有挺多的知识点值得学习的// 公众号只是对代码进行了简单注释`timescale 1ns / 1ps/* AXI4-Stream UART */module uart_tx #(    parameter DATA_WIDTH = 8)(    input  wire                   clk,           // 系统时钟    input  wire                   rst,           // 复位信号
   /* AXI input */    input  wire [DATA_WIDTH-1:0]  s_axis_tdata,  // 输入到这个模块准备发送出去的数据    input  wire                   s_axis_tvalid, // 有数据要输入到这个模块    output wire                   s_axis_tready, // 该模块准备好接收数据
    output wire                   txd,     // UART interface    output wire                   busy,    // Status 线忙    input  wire [15:0]            prescale // Configuration 预分度);
reg s_axis_tready_reg = 0;reg txd_reg           = 1;reg busy_reg          = 0;
reg [DATA_WIDTH:0] data_reg = 0;reg [18:0] prescale_reg     = 0;reg [3:0] bit_cnt           = 0;
assign s_axis_tready = s_axis_tready_reg;assign txd           = txd_reg;assign busy          = busy_reg;
always @(posedge clk) begin    if (rst)         begin            s_axis_tready_reg <= 0;  // 从机没有准备好发送            txd_reg           <= 1;  // 发送线拉高            prescale_reg      <= 0;  //             bit_cnt           <= 0;  // 位计数器初始化为0            busy_reg          <= 0;  // 复位后为不忙状态        end    else         begin            if (prescale_reg > 0)                 begin                    s_axis_tready_reg <= 0;                    prescale_reg      <= prescale_reg - 1;                end             else if (bit_cnt == 0)     //比特计数器为0                begin                    s_axis_tready_reg <= 1;   // 从机把ready信号拉高                    busy_reg          <= 0;   // 忙信号拉低无效                    if (s_axis_tvalid)        // 如果从机准备好接收数据                        begin                            s_axis_tready_reg <= !s_axis_tready_reg;   //                             prescale_reg      <= (prescale << 3)-1;    //                             bit_cnt           <= DATA_WIDTH+1;         // 一共10次计数                            data_reg          <= {1'b1, s_axis_tdata}; //                             txd_reg           <= 0;                    // 起始位0(起始位tx拉低,停止位拉高)                            busy_reg          <= 1;                    // 开始传输后,传输线进入忙状态                        end                end             else                 begin                    if (bit_cnt > 1)   //                         begin                            bit_cnt             <= bit_cnt - 1;                            prescale_reg        <= (prescale << 3)-1;  // 经过(prescale << 3)-1次的系统时钟计数,完成一位的移位                            {data_reg, txd_reg} <= {1'b0, data_reg};   // 移位操作                        end                     else if (bit_cnt == 1)                          begin                            bit_cnt      <= bit_cnt - 1;                            prescale_reg <= (prescale << 3);                              txd_reg      <= 1;                // 停止位1                        end                end        end end
endmodule

UART的接收模块(不详细讲解)

// Language: Verilog 2001
`timescale 1ns / 1ps
/* * AXI4-Stream UART */module uart_rx #(    parameter DATA_WIDTH = 8)(    input  wire                   clk,    input  wire                   rst,
  /* AXI output */    output wire [DATA_WIDTH-1:0]  m_axis_tdata,    output wire                   m_axis_tvalid,    input  wire                   m_axis_tready,      /* UART interface */    input  wire                   rxd,      /* Status */         output wire                   busy,    output wire                   overrun_error,    output wire                   frame_error,      /* Configuration */    input  wire [15:0]            prescale
);
reg [DATA_WIDTH-1:0] m_axis_tdata_reg = 0;reg m_axis_tvalid_reg = 0;
reg rxd_reg = 1;
reg busy_reg = 0;reg overrun_error_reg = 0;reg frame_error_reg = 0;
reg [DATA_WIDTH-1:0] data_reg = 0;reg [18:0] prescale_reg = 0;reg [3:0] bit_cnt = 0;
assign m_axis_tdata = m_axis_tdata_reg;assign m_axis_tvalid = m_axis_tvalid_reg;
assign busy = busy_reg;assign overrun_error = overrun_error_reg;assign frame_error = frame_error_reg;
always @(posedge clk) begin    if (rst) // 初始化各种参数           begin                           m_axis_tdata_reg <= 0;            m_axis_tvalid_reg <= 0;            rxd_reg <= 1;            prescale_reg <= 0;            bit_cnt <= 0;            busy_reg <= 0;            overrun_error_reg <= 0;            frame_error_reg <= 0;        end     else         begin            rxd_reg <= rxd;            overrun_error_reg <= 0;            frame_error_reg <= 0;
        if (m_axis_tvalid && m_axis_tready) // 准备有数据要发以及准被好发            begin                 m_axis_tvalid_reg <= 0;            end
        if (prescale_reg > 0) //             begin                                prescale_reg <= prescale_reg - 1;            end                     else if (bit_cnt > 0)             begin                if (bit_cnt > DATA_WIDTH+1)                     begin                        if (!rxd_reg)  // 实际的read为0时,开始计数bit                            begin                                               bit_cnt <= bit_cnt - 1;                                prescale_reg <= (prescale << 3)-1;  //prescale是16位移3位减1位,因为prescale_reg                            end                         else                             begin                                bit_cnt <= 0;                                prescale_reg <= 0;                            end                    end                                 else if (bit_cnt > 1)                     begin                        bit_cnt <= bit_cnt - 1;                        prescale_reg <= (prescale << 3)-1;                        data_reg <= {rxd_reg, data_reg[DATA_WIDTH-1:1]};                    end                                 else if (bit_cnt == 1)                     begin                        bit_cnt <= bit_cnt - 1;                        if (rxd_reg)                             begin                                m_axis_tdata_reg <= data_reg;                                m_axis_tvalid_reg <= 1;                                overrun_error_reg <= m_axis_tvalid_reg;                            end                         else                             begin                                frame_error_reg <= 1;                            end                    end            end         else             begin                busy_reg <= 0;                if (!rxd_reg)                 begin                    prescale_reg <= (prescale << 2)-2;                    bit_cnt <= DATA_WIDTH + 2;                    data_reg <= 0;                       busy_reg <= 1;                end            end                    endendendmodule

UART顶层

// Language: Verilog 2001
`timescale 1ns / 1ps
/* * AXI4-Stream UART */module uart #(    parameter DATA_WIDTH = 8)(    input  wire                   clk,    input  wire                   rst,
    /*     * AXI input     */    input  wire [DATA_WIDTH-1:0]  s_axis_tdata,    input  wire                   s_axis_tvalid,    output wire                   s_axis_tready,
    /*     * AXI output     */    output wire [DATA_WIDTH-1:0]  m_axis_tdata,    output wire                   m_axis_tvalid,    input  wire                   m_axis_tready,
    /*     * UART interface     */    input  wire                   rxd,    output wire                   txd,
    /*     * Status     */    output wire                   tx_busy,    output wire                   rx_busy,    output wire                   rx_overrun_error,    output wire                   rx_frame_error,
    /*     * Configuration     */    input  wire [15:0]            prescale
);
uart_tx #(    .DATA_WIDTH(DATA_WIDTH))uart_tx_inst (    .clk(clk),    .rst(rst),    // axi input    .s_axis_tdata(s_axis_tdata),    .s_axis_tvalid(s_axis_tvalid),    .s_axis_tready(s_axis_tready),    // output    .txd(txd),    // status    .busy(tx_busy),    // configuration    .prescale(prescale));
uart_rx #(    .DATA_WIDTH(DATA_WIDTH))uart_rx_inst (    .clk(clk),    .rst(rst),    // axi output    .m_axis_tdata(m_axis_tdata),    .m_axis_tvalid(m_axis_tvalid),    .m_axis_tready(m_axis_tready),    // input    .rxd(rxd),    // status    .busy(rx_busy),    .overrun_error(rx_overrun_error),    .frame_error(rx_frame_error),    // configuration    .prescale(prescale));
endmodule

同步(异步复位)模块

// Language: Verilog-2001// 很常用的模块`timescale 1 ns / 1 ps
/* * Synchronizes an active-high asynchronous reset signal to a given clock by * using a pipeline of N registers. */module sync_reset #(    parameter N=2 // depth of synchronizer)(    input wire clk,    input wire rst,    output wire sync_reset_out);
reg [N-1:0] sync_reg = {N{1'b1}};
assign sync_reset_out = sync_reg[N-1];
always @(posedge clk or posedge rst) begin    if (rst)        sync_reg <= {N{1'b1}};    else        sync_reg <= {sync_reg[N-2:0], 1'b0};end
endmodule

同步(异步信号)模块

// Language: Verilog-2001//很常用的模块`timescale 1 ns / 1 ps
/* * Synchronizes an asyncronous signal to a given clock by using a pipeline of * two registers. */module sync_signal #(    parameter WIDTH=1, // width of the input and output signals    parameter N=2 // depth of synchronizer)(    input wire clk,    input wire [WIDTH-1:0] in,    output wire [WIDTH-1:0] out);
reg [WIDTH-1:0] sync_reg[N-1:0];
/* * The synchronized output is the last register in the pipeline. */assign out = sync_reg[N-1];
integer k;
always @(posedge clk) begin    sync_reg[0] <= in;    for (k = 1; k < N; k = k + 1) begin        sync_reg[k] <= sync_reg[k-1];    endend
endmodule

原文标题:Uart协议及Verilog代码

文章出处:【微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

审核编辑:彭静
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 接收模块
    +关注

    关注

    1

    文章

    17

    浏览量

    10413
  • uart
    +关注

    关注

    22

    文章

    1159

    浏览量

    99968
  • 同步器
    +关注

    关注

    1

    文章

    78

    浏览量

    14489
  • 数据模块
    +关注

    关注

    0

    文章

    10

    浏览量

    9758

原文标题:Uart协议及Verilog代码

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    关于uart模块的问题

    本人对于uart串口通讯理解比较混乱,不知道设计的uart接收模块发送模块应该怎么连接,串口通
    发表于 12-18 10:47

    UART模块接收到的数据无法显示

    /GPS模块分配PIN P0.2和P0.3。我试图在GPS/GSM模块上显示笔记本电脑的超级终端接收数据。但是屏幕显示只在膝上型UART
    发表于 10-11 14:04

    如何使用Assembly和pic16f877从两个发送接收UART数据

    亲爱的大家,我试图通过以下模式使用xbee模块发送接收数据。通过UART数据
    发表于 04-13 10:07

    怎么用UART发送接收数据

    的按钮,电话应用程序将数据通过蓝牙发送模块模块uart发送到目标。目标响应,
    发表于 04-20 10:07

    Verilog实现UART之二:发送模块

    当并行数据准备好后,如果得到发送指令,则将数据UART协议输出,先输出一个低电平的起始位,然后从低到高输出8个数据位,接着是可选的奇偶校验
    发表于 02-09 09:48 643次阅读
    Verilog实现<b class='flag-5'>UART</b>之二:<b class='flag-5'>发送</b><b class='flag-5'>模块</b>

    无线模块nrf24l01 接收发送代码

    简单的nrf24l01模块应用,发送字符,接收端数码管显示
    发表于 04-27 16:13 21次下载

    UART的基本协议与设计实例模块划分以及整体实现概述

    接收控制模块发送控制模块内部都有一个波特率时钟产生模块(BuadRate_set),用于将电路输入时钟(clk)进行分频产生波特率时钟,用
    的头像 发表于 02-04 11:21 3629次阅读
    <b class='flag-5'>UART</b>的基本协议与设计实例<b class='flag-5'>模块</b>划分以及整体实现概述

    使用FPGA和模块化设计方法实现UART的设计论文

    的实现方法,具体描述了发送接收模块的设计,恰当使用了有限状态机,实现了FPGA上的UART的设计,给出仿真结果。
    发表于 07-07 17:28 10次下载
    使用FPGA和<b class='flag-5'>模块</b>化设计方法实现<b class='flag-5'>UART</b>的设计论文

    UART发送数据模块及Verilog代码

    代码注释有些匆忙,如有错误注释还请批评,仅作参考 UART Uart比较简单,所以仅对tx作比较详细的注释,但里面一些内容还是值得新手学习的 1开始位(低电平)+8位数据+1停止位(高电平,这里
    的头像 发表于 05-27 18:05 2203次阅读

    STC15F104W 使用 315/433 MHz 超再生模块发送/接收数据

    STC15F104W 使用 315/433 MHz 超再生模块发送/接收数据一、原理图二、发送端代码三、
    发表于 12-17 17:45 73次下载
    STC15F104W 使用 315/433 MHz 超再生<b class='flag-5'>模块</b><b class='flag-5'>发送</b>/<b class='flag-5'>接收</b><b class='flag-5'>数据</b>

    K025 基于51 315(或者433)发送接收模块测试

    2. 接收模块函数七.资料获取一. 实现功能上电后接收端显示接收到的数据并串口打印出来注:接收
    发表于 12-23 19:28 14次下载
    K025 基于51 315(或者433)<b class='flag-5'>发送</b>和<b class='flag-5'>接收</b><b class='flag-5'>模块</b>测试

    如何根据UART传输协议将数据发送出去呢?

    接收部分相反,UART发送数据部分是CPU将需要发送数据写到
    的头像 发表于 06-05 15:59 1825次阅读
    如何根据<b class='flag-5'>UART</b>传输协议将<b class='flag-5'>数据</b><b class='flag-5'>发送</b>出去呢?

    433模块发送接收 433无线模块使用方法

    433模块是一种常用的无线通信模块,用于实现短距离无线通信。在433模块中,一般有发送接收两种模式。
    发表于 06-12 17:41 8232次阅读

    芯片设计中的UART模块及其关键技术介绍

    在芯片设计中,UART(Universal Asynchronous Receiver/Transmitter,通用异步接收/发送器)模块是一个非常重要的外设
    的头像 发表于 10-09 14:10 762次阅读

    UART数据帧与发送

    时,它保持在高电压电平。为了开始数据传输,发送 UART数据线从高电平拉到低电平(从 1 到 0)。接收
    的头像 发表于 11-09 17:42 357次阅读