0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

怎样利用modelsim仿真波形图案来显示字符?

FPGA之家 来源:数字积木 作者:数字积木 2021-06-26 10:26 次阅读

这个小工程利用modelsim仿真波形图案来显示字符。效果如下,送给正在复习备考的同学,坚持就是胜利:

用到的工具如下:

---PCtoLCD2002 : 产生显示用的字符点阵

---Quartus:代码编写,仿真文件生成。

---Modelsim:生成要显示的字符图案。

1,字符点阵产生

PCtoLCD2002端设置如下:

pYYBAGDWkgKAbFDKAAEic6gJ374486.jpg

生成字符点阵:

poYBAGDWkfqATXXDAAEic6gJ374803.jpg

保持字模后,生成的字符点阵文件如下:

poYBAGDWkfSAEXdBAAECdpfC4tg680.jpg

2,字符点阵数据处理

将字符点阵文件中的提示信息,备注,标点符号等全部删除,只留下点阵的编码。并将相邻奇偶两行的数据调整到一行。效果如下:

pYYBAGDWkeyAO5z-AAB8ocYaWME142.jpg

将处理后的字符文件重命名为 char_code.hex 。

3,代码编辑

(1,)字符点阵数据储存

利用BRAM模块来储存字符点阵数据。利用 readmemh 函数加载字符点阵数据。

poYBAGDWklSAC5-OAABgmUxnudA262.jpg

(2)波形生成

将从BRAM模块中读出的数据与时钟信号按位相与即可。

poYBAGDWkluAWgzWAAA4I2LsRbs100.jpg

4,仿真

编写仿真文件,运行仿真,展开 out 信号,缩放到合适比例,即可看到字符显示。效果即同文章开头所示。

【注】本工程是利用quartus设置的仿真环境,在仿真设置时,最好将 char_code.hex 也添加进仿真文件列表中,仿真仿真软件找不到该文件。

pYYBAGDWkmKAQxHQAACxxLmY6vM872.jpg

文章来源:数字积木

图片来源:清风淡雨

责任编辑:lq6

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132147
  • 字符
    +关注

    关注

    0

    文章

    229

    浏览量

    24885
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46756

原文标题:利用modelsim波形显示字符【附代码】

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    最实用的Modelsim使用教程

    今天给大侠带来最实用的Modelsim初级使用教程,话不多说,上货。 一、 Modelsim简介 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL
    发表于 03-19 16:40

    proteus波形发生器怎么把波形图调出来

    Proteus是一款功能强大的电子电路设计与仿真软件,其中包括了波形发生器的功能。使用Proteus的波形发生器,您可以快速创建各种波形,并对其进行可视化分析。以下是关于如何在Prot
    的头像 发表于 02-23 16:53 1707次阅读

    如何使用 ModelSim 进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程 序进行仿真,支持IEEE常见的各种硬件描述语言标准。可以进行两种语言的混合
    发表于 01-14 09:47 0次下载

    在Saber中如何输出高质量的原理图或波形图呢?

    在撰写与Saber有关的建模文档和仿真报告时,必然会涉及到相关的原理图和仿真波形图,这时候在Word或PowerPoint中插入高质量的原理图或图形图像是必不可少的。
    的头像 发表于 12-05 14:59 626次阅读
    在Saber中如何输出高质量的原理图或<b class='flag-5'>波形图</b>呢?

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsim,vivado仅用于生成IP核。
    的头像 发表于 12-04 18:26 580次阅读
    <b class='flag-5'>怎样</b>单独使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    怎样利用STM8S105S4结合OLED实时显示各种波形

    怎样利用STM8S105S4结合0.96寸OLED屏幕实时显示正弦波、方波和三角波的波形
    发表于 11-06 06:04

    温控labview上位机,用波形图表实时显示温度数据,波形图表的时间轴时间变化不固定

    温控labview上位机,用波形图表实时显示温度数据,波形图表的时间轴时间变化Δt不固定,不是一秒获得一个数据显示,请问这是labview的问题,还是stm32芯片问题,还是keil编
    发表于 10-23 09:12

    如何用Python实现Vivado和ModelSim仿真自动化?

    我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载t
    的头像 发表于 09-13 09:23 756次阅读
    如何用Python实现Vivado和<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>自动化?

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Models
    的头像 发表于 07-24 09:04 1990次阅读
    Vivado调用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>

    modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

    ,然后编译,再添加波形,这样是非常麻烦的。在实际工作中一般不会这样操作,通常我们都会利用脚本实现modelsim的“自动化”编译。实现一键完成电脑自动打开modelsim软件,自动编译
    的头像 发表于 07-19 10:10 1060次阅读
    <b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>实验 <b class='flag-5'>利用</b>脚本实现<b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>

    基于单片机显示仿手机键盘按键字符仿真程序

    基于单片机显示仿手机键盘按键字符仿真设计资料
    发表于 05-22 15:48 0次下载

    使用PlantUml绘制逻辑时序图/波形图

    使用PlantUml绘制时序图,波形图的简单说明如下。
    的头像 发表于 05-14 09:28 1277次阅读
    使用PlantUml绘制逻辑时序图/<b class='flag-5'>波形图</b>

    15种运算放大器应用电路讲解(电路图+波形图

    今天来给大家简单介绍一下:运算放大器的应用,电路图+波形图,一次性解决。
    发表于 05-12 09:02 7837次阅读
    15种运算放大器应用电路讲解(电路图+<b class='flag-5'>波形图</b>)

    Labview如何创建波形图的X轴的局部变量。

    限速信息,也就是在我运行labview上位机后这段限速信息就会在波形图显示出来,我就可以根据限速信息控制列车的速度。 目前我的程序是根据当前运行到的位置收到限速信息,虽然能显示限速
    发表于 05-09 12:06

    基于AT89C51单片机字符液晶显示的频率计Proteus仿真程序

    基于AT89C51单片机字符液晶显示的频率计Proteus仿真及程序
    发表于 05-05 09:54 4次下载