0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

高速信号处理时片间信号传输的静态时许分析

FPGA之家 来源:CSDN博客 作者:多喝hot水 2021-06-18 16:22 次阅读

之前做的一个超宽带非均匀采样系统中遇到的一些问题,虽然本文所述方法并未实际用到并解决遇到的问题,但也是给了很大的启发和参考,所以今天专门整理出来作为备忘。

在高速信号处理时的时许约束不仅仅包括片内时序约束,要想实现高速信号的有效传输就必须进行片外静态时序分析。本文作为在高速信号处理时信号输入输出的理论参考,之所以说作为理论参考是因为由于高速信号处理,具体的一些参数无法实际计算出来,只能在理论参考的方向进行不断尝试。

对于建立时间和保持时间本文就不再过多叙述,可参考【FPGA】几种时序问题的常见解决方法-------3,可以说在数字高速信号处理中最基本的概念就是建立时间和保持时间,而我们要做的就是解决亚稳态问题和传输稳定问题。

下面就IO口时序约束分析进行原理性的讨论,首先在分析时要考虑的时序范围是信号的两端(FPGA和另一端器件)、信号传输路径,三部分,这三部分中信号传输路径可以包括逻辑器件或者单纯外部信号线路。先将FPGA的建立时间和保持时间按照触发器的定义方式进行一下定义:

(1) Tdin为从FPGA的IO口到FPGA内部寄存器输入端的延时;

(2) Tclk为从FPGA的IO口到FPGA内部寄存器时钟端的延时;

(3) Tus/Th为FPGA内部寄存器的建立时间和保持时间;

(4) Tco为FPGA内部寄存器传输时间;

(5) Tout为从FPGA寄存器输出到IO口输出的延时;

FPGA的建立时间和保持时间可定义为:

(1) FPGA建立时间:FTsu = Tdin + Tsu – Tclk;

(2) FPGA保持时间:FTh = Th + Tclk - Tdin;

(3) FPGA数据传输时间:FTco = Tclk + Tco + Tout;

-----------------------------------------------进行输入的最大延迟和最小延迟-----------------------------------------------

有了上述的重新定义的参数,就可以将FPGA和器件之间的时序分析按照内部分析的模式来进行分析了,对FPGA的IO口进行输入最大最小延时约束是为了让FPGA设计工具能够尽可能的优化从输入端口到第一级寄存器之间的路径延迟,使其能够保证系统时钟可靠的采到从外部芯片到FPGA的信号。

输入延时即为从外部器件发出数据到FPGA输入端口的延时时间。其中包括时钟源到FPGA延时和到外部器件延时之差、经过外部器件的数据发送Tco,再加上PCB板上的走线延时。如图1.4所示,为外部器件和FPGA接口时序。

750e15f6-cf77-11eb-9e57-12bb97331649.png

1,最大输入延时

最大输入延时(input delay max)为当从数据发送时钟沿(lanuch edge)经过最大外部器件时钟偏斜(Tclk1),最大的器件数据输出延时(Tco),再加上最大的PCB走线延时(Tpcb),减去最小的FPGA时钟偏移(FTsu)的情况下还能保证时序满足的延时。这样才能保证FPGA的建立时间,准确采集到本次数据值,即为setup slack必须为正,计算公式如下式所示:

Setup slack =(Tclk + Tclk2(min))–(Tclk1(max) +Tco(max) +Tpcb(max) +FTsu)≥0

推出如下公式:

Tclk1(max) + Tco(max) + Tpcb(max) –Tclk2(min) ≤ Tclk - FTsu

PS:上式中max和min是为了保证传输质量所必需的条件,如果不加max和min就会有可能导致系统有些情况不满足上式,从而导致信号传输产生错误。Tclk为同步时钟的周期。

可以得出最大输入时延表达式为上述不等式的左半部分而其最大值为上述不等式的右半部份,即:

最大输入延时(input delay max) =Tclk - FTsu

归根结底就是输入信号的各部分时延必须满足Tclk1(max) + Tco(max) + Tpcb(max) –Tclk2(min) ≤ Tclk - FTsu这个公式。但是式中Tco(max)可以通过对片外器件延时实现手动调节,Tclk2(min)也可以通过时许约束(offset)或者FPGA内部DCM实现相移等操作。最大最小输入延时指的是数据的最大输入延时,可通过始终约束其最大输入延时来保证时序正确。

2,最小输入延时

最小输入延时(input delay min)为当从数据发送时钟沿(lanuch edge)经过最小外部器件时钟偏斜(Tclk1),最小器件数据输出延时(Tco),再加上最小PCB走线延时(Tpcb),此时的时间总延时值一定要大于FPGA的最大时钟延时和建立时间之和,这样才能不破坏FPGA上一次数据的保持时间,即为hold slack必须为正,计算公式如下式所示:

Hold slack = (Tclk1(min) + Tco(min) + Tpcb(min))–(FTh + Tclk2(max))≥ 0

推出如下公式:

Tclk1(min) + Tco(min) + Tpcb(min) – Tclk2(max) ≥ FTh

可以得出最大输入时延表达式为上述不等式的左半部分而其最大值为上述不等式的右半部份,即:

最小输入延时(input delay min) = FTh

归根结底就是输入信号的各部分时延必须满足Tclk1(min) + Tco(min) + Tpcb(min) – Tclk2(max) ≥ FTh这个公式。但是式中Tco(max)可以通过对片外器件延时实现手动调节,Tclk2(min)也可以通过时许约束(offset)或者FPGA内部DCM实现相移等操作。外部器件输出数据通过PCB板到达FPGA端口的最大值和最小值Tpcb,PCB延时经验值为600mil/ns,1mm = 39.37mil。

-----------------------------------------------------------------------------------------------------

本文所述为高速信号处理时,片间信号传输的静态时许分析,中间的很多参数需要查看数据手册,另外对于FPGA输出的静态时许分析大家可以参考FPGA输入的静态时序分析进行对照分析,在此就不再赘述。

原文标题:【FPGA】高速信号处理中的片外信号输入输出静态时序分析

文章出处:【微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21328

    浏览量

    593275
  • 信号
    +关注

    关注

    11

    文章

    2641

    浏览量

    75393

原文标题:【FPGA】高速信号处理中的片外信号输入输出静态时序分析

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    光纤传输的是数字信号还是模拟信号

    光纤传输的是数字信号。 在现代通信领域,光纤传输已经被广泛应用。它凭借其高速、大容量以及低损耗的优点,成为了一种非常可靠的传输媒介。那么,光
    的头像 发表于 04-03 17:59 678次阅读

    高速信号眼图测试的基本原理

    高速信号眼图测试的基本原理  高速信号眼图测试是一种用于衡量和分析高速数字
    的头像 发表于 02-01 16:19 223次阅读

    数字信号处理信号与系统区别

    数字信号处理信号与系统是两个很重要的概念。虽然它们都涉及到信号处理分析,但在很多方面有着不
    的头像 发表于 01-18 09:30 1090次阅读

    如何使用SigXplorer进行高速信号反射仿真

    高速信号传输中,信号传输线上的反射是一个重要的问题。当信号
    的头像 发表于 12-23 08:12 636次阅读
    如何使用SigXplorer进行<b class='flag-5'>高速</b><b class='flag-5'>信号</b>反射仿真

    高速信号是否需要包地处理

    高速信号是否需要包地处理
    的头像 发表于 12-14 18:33 779次阅读
    <b class='flag-5'>高速</b><b class='flag-5'>信号</b>是否需要包地<b class='flag-5'>处理</b>

    PCB布线对模拟信号传输的影响如何分析

    PCB布线对模拟信号传输的影响如何分析,如何区分信号传输过程中引入的噪声是布线导致还是运放器件导致? PCB布线对模拟
    的头像 发表于 10-31 14:34 514次阅读

    什么是传输线?什么是信号完整性分析?为什么传输线要测试差分信号

    什么是传输线?什么是信号完整性分析?为什么传输线要测试差分信号? 什么是传输线?
    的头像 发表于 10-23 10:34 388次阅读

    对模拟量信号处理为什么采用电流信号方式进行传输

    对模拟量信号处理为什么采用电流信号方式进行传输,而不是电压信号? 模拟量信号是指表示连续变化的
    的头像 发表于 10-23 09:30 386次阅读

    利用FPGA和光纤传输设计高速数字信号传输系统

    差、实时性低、传输速度慢、通用性差等缺点,难以满足对高速宽带信号采集和处理的要求。FPGA具有时钟频率高、速度快、采集实时性高、控制灵活等特点,与A/D转换器等外围电路结合,更适于
    的头像 发表于 10-18 16:35 873次阅读

    LVDS传输的是什么信号?判断LVDS信号正常的方法

    ,用于高速数据传输。它的优势包括高速传输能力、低功耗、抗干扰能力强、传输距离远等。 二、LVDS信号
    的头像 发表于 10-18 15:38 3598次阅读

    pcb上的高速信号需要仿真串扰吗

    pcb上的高速信号需要仿真串扰吗  在数字电子产品中,高速信号被广泛应用于芯片内部和芯片间的数据传输。这些
    的头像 发表于 09-05 15:42 519次阅读

    高速电路PCB的网络、传输线、信号路径和走线

    但是,对于高速信号,如第3章所讲的就完全不是这样了,一个信号从引脚A输出,到达D可能完全失真,而且也完全不考虑信号电流是如何返回的,所以需引入传输
    发表于 08-28 14:49 1961次阅读
    <b class='flag-5'>高速</b>电路PCB的网络、<b class='flag-5'>传输</b>线、<b class='flag-5'>信号</b>路径和走线

    高速信号中的差分信号设计

    01 差分概述 差分信号: 即两根线传输幅度相同,相位相反的一组信号传输信号中包括差模信号
    的头像 发表于 06-19 17:28 1234次阅读
    <b class='flag-5'>高速</b><b class='flag-5'>信号</b>中的差分<b class='flag-5'>信号</b>设计

    如何实现高速连接器信号完整性分析

    随着现代电子产品的快速发展,高速连接器LM393越来越广泛地应用于各种领域。高速连接器的信号完整性分析是确保高速数据
    的头像 发表于 06-04 14:30 1066次阅读

    PCB设计中的高速信号传输优化技巧

    在现代电子设计中,高速信号传输已成为不可避免的需求。高速信号传输的成功与否,直接影响整个电子系
    的头像 发表于 05-08 09:48 1209次阅读