0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

正则表达式在Vivado约束文件中的应用

电子设计 来源:电子设计 作者:电子设计 2022-02-08 11:26 次阅读

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。

本文链接:https://blog.csdn.net/yinyeyy/article/details/106422415

使用xdc文件进行管脚、位置、时序和属性等约束的时候,经常会使用各种get命令。Vivado提供了很丰富的匹配表达式,比如等于==、不等于!=、匹配=~、不匹配!~、、=等等,这些表达式可以通过&&和||进行组合;同时还有大量的状态、属性和单元名称可用,比如DIRECTION、IN、IS_LOC_FIXED、IS_PRIMITIVE、NAME等等。

我在xdc文件中匹配目标的时候,在可行的情况下更倾向于使用正则表达式。本文就介绍一下我常使用的正则表达式和一些在Vivado中应用的特殊之处,同时也有个别自己尚未解决的问题。

1. -hierarchical和-regexp的使用

在匹配FPGA内部资源时,比如get_pins、get_cells、get_nets的时候,需要同时使用-hierarchical和-regexp。

-hierarchical表示Vivado在匹配对象的时候,将在工程中不同的层次内对该信号进行搜索。如果没有加这个选项,就必须在匹配字符中显式且精确的指出匹配对象所在的层次。需要注意的是,当使用get_ports时,不能使用-hierarchical选项。因为ports应该位于顶层,没有层次之分。

-regexp是指本次匹配将使用正则表达式,是必须使用的。

以下是一个使用-hierarchical的例子和注意事项。

pIYBAGAKB9yAJCbYAAA5Hntw-xs125.png

首先看第一行蓝色的get_cells命令,这里用来获得ConfigRegs_i这个实例内的满足bus_hsio_dly/。.*_fine_sel.*要求的对象。可以看到运行该命令后得到了下面黑色部分的对象。第三行指令在第一行的基础上,把顶层实例ConfigRegs_i的限制去掉,也获得了想要的对象。

再来看第五行的指令。此处去掉了-hierarchical,意味着匹配过程不能穿越不同的层次结构。同时“.*”虽然可以匹配任何除换行符之外的任何数量的字符,但是却不能替代在Vivado中表示层次概念的“/”符号。所以匹配过程只在顶层进行搜索,其结果就如第六行,不能找到所需的对象。

第七行也犯了一样的错误。在没有使用-hierarchical的情况下,虽然想通过“ConfigRegs_i.*”来匹配顶层的ConfigRegs_i实例,但是“.*”是不能匹配层次符号“/”的。同样无法获取对象。

第九行虽然没有使用-hierarchical,但是通过ConfigRegs_i/指定了对象的层次结构,是可以获得想要的对象的。但是这里有一个限制,即cmph_fine_sel_reg[0]这些对象必须位于ConfigRegs_i下。如果cmph_fine_sel_reg[0]和ConfigRegs_i中间还隔了别的实例,比如ConfigRegs_i例化了CmpDef_i,CmpDef_i内部定义了cmph_fine_sel_reg[0]。这种情况下,第九行是无法找到所需对象的。

2. 常用的匹配方法

正则表达式功能强大且复杂,要完全掌握会花费很长时间。但是对于我们编写xdc而言,只需要掌握很小一部分内容就可以应对绝大多数情况了。最常用的应该就是特殊字符和限定符了。下面是比较常用的特殊字符。

pIYBAGAKCF-AbiVSAABn6kj4D0s793.png

常用限定符如下。

pIYBAGAKCJ2AALooAABAaZ5sz04170.png

我们在FPGA工程中使用正则表达式不是为了进行字符处理,不需要考虑太多的容错性和可能性。对于我们而言,绝大多数命名都是确定的,使用正则是为了更方便的获取对象和简化匹配过程。

3. 使用示例

3.1 获取总线类对象

看以下例子。在进行物理约束的时候,为一个总线设置信号类型,如果一个一个的写即慢又可能犯错。使用正则可以将这类信号全部提取出来。以一个从0到31共32路的总线为例。

set_property IOSTANDARD SSTL18_I_DCI [get_ports -regexp {c2c_sync/[.*]}]

以上指令可以获取所有名字为c2c_sync[]的端口,方括号内可能是任意多个数字。这种写法不够准确,在文字处理程序里面会出大问题,但是在FPGA工程中足够了。当然也可以写的稍微严格点,比如:

set_property IOSTANDARD SSTL18_I_DCI [get_ports -regexp {c2c_sync/[[0-9]{1,2}]}]

这个表达式比上一个更进一步的约束了中括号内的内容。这里的/[是为了匹配“[”本身,而里面的[0-9]则定义了一个从数字0到数字9的字符集,[0-9]后面的{1,2}则表示[0-9]中的任意数字将出现1次,或以任意两个数字的组合出现1次。对于我们的应用,做到这一步就够了。

3.2 简化匹配表达式

工程中会有一些名字很特殊的信号,比如全局复位信号,这种信号在工程中往往只会出现一次,我用更加简单粗暴的方式来获得。

set_false_path -from [get_cells -regexp -hierarchical .*rst_global_reg.*]

比如上面的例子。通过使用-hierarchical搜索所有层次,通过在rst_global_reg的前后都使用.*来匹配任何可能出现的字符。其中。表示匹配任何非换行符的字符,*表示。可以出现任意次。当然并不推荐这种写法,因为会增加编译时匹配对象的负担。可以使用更精准的匹配。

对于层次很深的信号,只要保证列出的匹配字符能够找到我们所要的对象即可。比如某工程有下图的层次结构。

比如设计者发现A_i0模块内部的D_i0内的某个关键信号的位置需要优化,且设计者知道该信号在D_i0中唯一。为了拿到这个关键信号,可以使用正则表达式,并且可以略过中间层次的所有模块。

get_cells -regexp -hierarchical {A_i0/.*/D_i0/start_reg}

3.3 特殊字符的获取

前面提到过一些特殊字符,比如?、。、/、[等等,如果需要匹配这些字符,需要用到转义符“/”。比如“/。”表示“。”这个字符本身,而不再是匹配符。。

比如在上文提到的get_ports -regexp {c2c_sync/[[0-9]{1,2}]}。这个总线的完整名称是c2c_sync[0]、c2c_sync[1]。..。..,此处的“/[”就是表示左方括号这个字符本身。而[0-9]中单独使用的[]就表示一个字符集合。这里有个需要注意的地方,作为字符使用的左方括号左侧加了转义符“/“,而右方括号则不需要加”/“。

另外一个需要注意的是上文中提到的get_cells -regexp -hierarchical ConfigRegs_i/bus_hsio_dly.*_fine_sel.*。这里面bus_hsio_dly是一个systemverilog中的interface,获取的对象是bus_hsio_dly接口中的cmph_fine_sel_reg信号,其表达方式应该是bus_hsio_dly.cmph_fine_sel_reg。此处我使用”.*“来匹配任何非换行字符,所以可以匹配”。“字符。

更合适一点的匹配表达式应该是ConfigRegs_i/bus_hsio_dly/。.*_fine_sel_reg。第一处修改是明确使用/。来匹配”。“,第二处修改时添加了_reg后缀(Vivado会给寄存器变量默认添加_reg后缀)。如果不添加该后缀,可能会获取一些不期望的对象。比如使用get_cells时,可能或获取带_fine_sel的LUT,比如_fine_sel_i_1等等。

再进一步,我们可能注意到上述匹配获得的对象打印出来是:

bus_hsio_dly//.cmph_fine_sel_reg

打印结果不是/。,而是//。。对于这种情况,我猜想是//用来表示转义符”/“。而”//“表示的转义符则作用于特殊字符”。“,用来表示普通字符”。“。

这是个人猜测,但是为什么会这样?如果哪位清楚原因,不吝赐教。

审核编辑:何安

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65102
  • xdc
    xdc
    +关注

    关注

    1

    文章

    23

    浏览量

    5870
收藏 人收藏

    评论

    相关推荐

    你还不会gvim正则表达式?一文搞懂!

    gvim正则表达式常在命令行模式下使用,一般用于文本文件字符串的替换、删除等操作。
    的头像 发表于 01-19 16:47 317次阅读

    更快的tsv解析代码分享

    使用正则解析的正则表达式很简单, 这里直接给代码, 为了避免重复编译正则表达式和重新分配内存报错结果列表, 这里将她们作为参数传给解析函数.
    的头像 发表于 12-29 09:45 166次阅读
    更快的tsv解析代码分享

    GVIM正则表达式介绍和使用示例

    的Ken Thompson借用这个概念并将它应用到了文本编辑器中。后面正则表达式的说法进入到了大家常用的vi、vim、gvim当中。
    的头像 发表于 12-18 15:09 386次阅读
    GVIM<b class='flag-5'>正则表达式</b>介绍和使用示例

    linux正则表达式匹配字符串

    在Linux操作系统中,正则表达式是一种强大的模式匹配工具,它可以用于在文本中查找、替换和筛选特定的字符串。准确掌握正则表达式的语法和常见应用,对于Linux系统的管理员和开发人员来说
    的头像 发表于 11-23 10:26 335次阅读

    什么是正则表达式正则表达式如何工作?哪些语法规则适用正则表达式

    正则表达式又称规则表达式(Regular Expression,在代码中常简写为 regex、regexp 或 RE),是一种用于匹配、查找、替换文本的强大工具。它能够以特定的模式匹配字符串,从而
    的头像 发表于 11-03 14:41 568次阅读
    什么是<b class='flag-5'>正则表达式</b>?<b class='flag-5'>正则表达式</b>如何工作?哪些语法规则适用<b class='flag-5'>正则表达式</b>?

    Java Lambda表达式的新特性

    Java Lambda表达式是Java 8中最重要的新特性之一。 它们是一种可传递的匿名函数,可以作为参数传递给方法或存储在变量中,因此可以在需要的时候调用它们。 基础 1. 简介 Lambda
    的头像 发表于 09-30 10:29 2290次阅读

    怎么去选择使用gm的三种表达式呢?

    我们在写跨导gm的表达式时,知道gm有三种表达式表达式含有的变量其实只有三个,一个W/L,一个Vgs-Vth,还有一个Id。
    的头像 发表于 09-17 15:31 3097次阅读
    怎么去选择使用gm的三种<b class='flag-5'>表达式</b>呢?

    zabbix触发器表达式 基本RS触发器表达式 rs触发器的逻辑表达式

    zabbix触发器表达式 基本RS触发器表达式 rs触发器的逻辑表达式  Zabbix是一款开源的监控软件,它能通过监控指标来实时监测服务器和网络的运行状态,同时还能提供警报和报告等功能来帮助管理员
    的头像 发表于 08-24 15:50 1213次阅读

    如何使用lambda表达式提升开发效率?

    Java8 的一个大亮点是引入 Lambda 表达式,使用它设计的代码会更加简洁。当开发者在编写 Lambda 表达式时,也会随之被编译成一个函数式接口。
    发表于 08-24 10:25 172次阅读

    Python中的正则表达式

    哈喽大家好,我是了不起,今天给大家讲Python中的正则表达式 在Python中,正则表达式是一种强大的文本处理工具,它可以用来匹配、搜索、替换文本。正则表达式是一个特殊的字符序列,它可以描述一类
    的头像 发表于 06-21 16:52 712次阅读

    OpenHarmony中使用正则表达式

    本文主要介绍笔者在做开源鸿蒙应用开发时,ArkTs 中使用正则表达式处理像 string 等字符串对象。
    的头像 发表于 06-06 09:24 658次阅读

    一文详解Verilog表达式

    表达式由操作符和操作数构成,其目的是根据操作符的意义得到一个计算结果。表达式可以在出现数值的任何地方使用。
    的头像 发表于 05-29 16:23 1939次阅读
    一文详解Verilog<b class='flag-5'>表达式</b>

    shell脚本基础:正则表达式grep

    在Linux上有许多命令可以使用正则表达式,其中最常见的是grep命令和sed命令。正则表达式有多种类型,每种类型中可以使用的元字符类型不同。最常见的是基本正则表达式和扩展正则表达式
    的头像 发表于 05-29 09:29 775次阅读
    shell脚本基础:<b class='flag-5'>正则表达式</b>grep

    KiCad 7添加网络类(一):通配符与正则表达式

    的网络没有“通用”特征,那该怎么办呢?要么去修改原理图中网络的名称,要么就只能用土办法,挨个添加。如下图,因为没法批量匹配,只能一行行的网络添加到网络类。 灵活使用正则表达式,对于需要使用网络类
    发表于 05-24 14:41

    Linux入门之正则表达式

    正则表达式是用来表达字符串匹配模式的方法,利用正则表达式,可以让我们轻易地实现对目标字符串的**查找**、**删除**、**替换**等操作。 正则表达式并不复杂,它并不包含难以理
    的头像 发表于 05-12 15:31 566次阅读
    Linux入门之<b class='flag-5'>正则表达式</b>