0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

在模块化设计过程中编写testbench并仿真的方法

OpenFPGA 来源:OpenFPGA 作者:OpenFPGA 2020-11-20 11:29 次阅读

Testbench编写指南(3)模块化工程的仿真方法

文章目录

Testbench编写指南(3)模块化工程的仿真方法

仿真第1个子模块

加入第N个子模块

多模块联合仿真

1. 第一种方法

2. 第二种方法

使用Quartus+ModelSim

第3篇的题材是模块化工程的仿真方法。现在只要是功能比较完善、规模比较大的FPGA设计都会采用模块化设计的方法。本文介绍在模块化设计过程中编写testbench并仿真的方法,Vivado对此有很好的特性支持,使用Quartus+ModelSim也可以达到同样的效果。

仿真第1个子模块

在开始设计前,根据设计划分好各功能模块(为了叙述方便,这里以对“FPGA数字信号处理(十三)锁相环位同步技术的实现”中设计的系统仿真为例)。编写好第一个子模块(本例中为双相时钟生成模块),在Vivado中添加仿真sim文件,编写testbench:

`timescale 1ns / 1ps //----------------------------------------------------- // 双相时钟信号生成模块测试 //----------------------------------------------------- module clk_gen_sim; reg clk, rst; wire clk_d1, clk_d2; clk_gen i1 ( .clk(clk), //32MHz系统时钟 .rst(rst), //高电平有效复位信号 .clk_d1(clk_d1), //时钟1 .clk_d2(clk_d2) //时钟2 ); always #10 clk = ~clk; initial begin clk = 1'b1; rst = 1'b1; #50; rst = 1'b0; #1000; $stop; end endmodule

综合正确后,点击“Run Simulation”->“Run Behavioral Simulation”进行行为仿真,仿真结果如下图:


  仿真结果正确(即功能与预期相符),则表明该子模块设计正确,可以开始下一个子模块的设计和仿真。

加入第N个子模块

和上节一样,设计好一个子模块,则添加一个仿真激励testbench文件,在仿真中确认功能正确性。最终的仿真文件清单如下所示:


  Vivado对多模块、多文件的仿真提供了很好的特性支持。上面有多个testbench文件,分别对不同的模块进行仿真。当仿真好第一个模块后,需要仿真第二个模块时,对第一个模块对应的testbench点右键->“Disable File”,并将第二个模块对应的testbench点右键->“Set as Top”(当状态为Enable的仿真文件只有一个时会自动设置为Top),如下图所示:


  如果想要重新仿真先前的模块,在testbench文件上点右键->“Enable File”即可重新将其置为有效。通过这样的方法可以完成所有模块的仿真。

多模块联合仿真

我们知道,模块化设计的代码,各个模块之间的联系是非常紧密的。对于简单的设计还比较好,可以像上节一样每个模块单独测试,各自编写testbench也并不复杂。而更多的设计在仿真时我们期望能直接使用第一个模块产生的信号,作为第二个模块的测试激励,即多模块联合仿真。比如在“FPGA综合系统设计(七)基于DDC的两路信号相位差检测”中,在仿真DDC模块(数字下变频)时显然更希望直接使用信号生成模块(signal_gen)中产生的信号作为激励,而不是另外在testbench中生成一个信号作为激励。否则不仅费时费力,也没有测试到模块之间连接的正确性。
  方法有两个:第一个是先编写好设计的顶层模块,不断的将子模块实例化到顶层模块中,只对顶层模块做仿真;第二个是在testbench中把需要的子模块都实例化好。

1. 第一种方法

Vivado可以观察模块的内部信号,在运行顶层模块的仿真后,Scope窗口内显示了顶层模块内包含的所有子模块。如下图所示:


  仿真波形窗口内默认只显示顶层模块的接口和在testbench文件中定义的变量。如果要观察子模块内部的信号,在子模块上右键->“Add to Wave Window”,即可将相关信号添加到波形窗口。
  借助于Vivado的这个特性,可以在设计过程中不断在顶层模块中实例化子模块,达到多模块联合仿真的目的。这样做的优点是在编写testbench代码上更省力,缺点是只有一个顶层模块的testbench,无法对各个子模块进行单独测试。

2. 第二种方法

在仿真一个子模块时希望用到其它子模块的输出信号,将两者都在testbench中实例化即可。和下面testbench代码类似:

`timescale 1ns / 1ps module clk_iq_sim; reg clk, rst; wire clk_d1, clk_d2; wire clk_i, clk_q; clk_gen i1 ( .clk(clk), //32MHz系统时钟 .rst(rst), //高电平有效复位信号 .clk_d1(clk_d1), //时钟1 .clk_d2(clk_d2) //时钟2 ); /*使用clk_gen模块的输出信号作为该模块的输入激励*/ clk_iq i2 ( .clk(clk), //32MHz系统时钟 .rst(rst), //高电平有效复位信号 .clk_d1(clk_d1), //时钟1 .clk_d2(clk_d2), //时钟2 .clk_i(clk_i), .clk_q(clk_q) ); always #10 clk = ~clk; initial begin clk = 1'b1; rst = 1'b1; #50; rst = 1'b0; #1000; $stop; end endmodule

这样做的好处是仍然可以保持每一个子模块都有一个对应的仿真激励文件,更方便功能测试和文件管理。尤其在经常需要修改和运行仿真的设计中,单独测试一个模块的运行时间比运行总体的顶层模块仿真要节省不少时间。

使用Quartus+ModelSim

Vivado自带的仿真(Vivado Simulation)已经足够好用,而使用Quartus时,由于其自带的波形仿真工具并不方便,经常需要调用ModelSim来仿真。使用Quartus+ModelSim也可以达到上面的效果。
  多仿真文件的管理在Quartus主界面的Assignments菜单->Settings窗口中,如下图所示:


  点击EDA Tool Settings下的Simulation,在Test Benches窗口中可以添加和管理多个testbench文件。在Compile test bench的下拉菜单里选择指定的一个testbench,调用ModelSim仿真时会读取相应的文件。
  ModelSim仿真过程中也可以观察到顶层模块内部子模块的信号。在sim-Default窗口下可以看到顶层模块和子模块之间的实例化信息,选中相应的子模块,在Objects窗口(如果没有则在ModelSim主界面的View菜单中选中打开)下会显示出该子模块的相关信号。
  对需要显示的信号点右键->“Add to”->“Wave”->“Selected Signals”,即可添加到波形窗口。点击“Run-All”重新运行仿真,新添加信号的波形便会显示出来。

责任编辑:lq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 数字信号处理

    关注

    15

    文章

    520

    浏览量

    45302
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132166
  • 模块化
    +关注

    关注

    0

    文章

    302

    浏览量

    21159

原文标题:Testbench编写指南(3)模块化工程的仿真方法

文章出处:【微信号:Open_FPGA,微信公众号:OpenFPGA】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA入门必备:Testbench仿真文件编写实例详解

    编写完HDL代码后,往往需要通过仿真软件Modelsim或者Vivadao自带的仿真功能对HDL代码功能进行验证,此时我们需要编写Testbenc
    发表于 04-29 10:43 36次阅读

    EXTI9_5仿真过程中PR是什么时候挂起和解挂的?

    EXTI9_5仿真过程中,EXTI->PR什么时候是挂起的,什么时候是解挂的? 今天晚上我练习了下5.6.7号中断线的使用。首先产生中断EXTI9_5,进入中断函数后,通过判断
    发表于 04-19 06:23

    最实用的Modelsim使用教程

    测试文件 在编写Testbench之前,最好先将要仿真的目标文件编译到工作库,点Compile->Compile或 ,将出现下面的对话框。 图5 编译目标文件
    发表于 03-19 16:40

    芯片前仿真和后仿真的区别

    是指在芯片设计过程中,对电路的功能和性能进行仿真验证的环节。它主要关注电路的功能性、时序和功耗等方面,以确保设计的正确性和可行性。前仿真通常在物理布局之前进行,因此也称为静态时序分析或网表级仿
    的头像 发表于 12-13 15:06 2459次阅读

    Saber中如何更好地提高仿真的收敛性(一)

    仿真过程中,由于仿真模型的不连续性,或者模型没有适当地表征/参数化,或者当求解器无法求解控制模型行为的方程时,可能就会出现仿真的收敛问题。
    的头像 发表于 12-05 14:43 653次阅读
    Saber中如何更好地提高<b class='flag-5'>仿真的</b>收敛性(一)

    步进电机控制的过程中怎么提高控制的精度?

    步进电机控制的过程中怎么提高控制的精度
    发表于 10-12 06:02

    testbench编写基本结构

    testbench编写基本结构
    发表于 09-28 17:43

    单片机C语言模块化编程资料

    的,如何响应我们按键的输入,这些过程对我们用户而言,就是是一个黑盒子。大规模程序开发,一个程序由很多个模块组成,很可能,这些模块
    发表于 09-28 07:23

    keysight是德 E4360A 模块化太阳能电池阵列仿真器主机

    keysight是德 E4360A 模块化太阳能电池阵列仿真器主机 主要特性与技术指标最大总输出功率(=所有模块输出功率之和) 1200 W( 200 - 240 VAC 输入条
    发表于 09-12 10:03

    VHDL与Verilog硬件描述语言TestBench编写

    小的设计中,用TestBench来进行仿真是一个很不错的选择。VHDL与Verilog语言的语法规则不同,它们的TestBench的具体写法也不同,但是应包含的基本结构大体相似,在VHDL的仿
    的头像 发表于 09-09 10:16 892次阅读
    VHDL与Verilog硬件描述语言<b class='flag-5'>TestBench</b>的<b class='flag-5'>编写</b>

    【verilog每日一练】testbench编写基本结构

    根据如下模块编写对应的testbench文件
    发表于 09-08 10:35

    模块化设计过程中编写testbench仿真的方法介绍

     在开始设计前,根据设计划分好各功能模块(为了叙述方便,这里以对“FPGA数字信号处理(十三)锁相环位同步技术的实现”中设计的系统仿真为例)。
    的头像 发表于 09-04 09:54 1215次阅读
    在<b class='flag-5'>模块化</b>设计<b class='flag-5'>过程中</b><b class='flag-5'>编写</b><b class='flag-5'>testbench</b>并<b class='flag-5'>仿真的</b><b class='flag-5'>方法</b>介绍

    提高模块化UPS可靠性的方法

    本文基于可靠度数学模型对模块化UPS冗余功率模块数量、模块容量和模块质量与UPS主机可靠性的关系进行了定量计算和定性分析,最后从功率模块设计
    的头像 发表于 08-28 11:02 937次阅读
    提高<b class='flag-5'>模块化</b>UPS可靠性的<b class='flag-5'>方法</b>

    Verilog Testbench怎么写 Verilog Testbench文件的编写要点

    熟练了一点、但是整体编写下来比较零碎不成体系,所以在这里简要记录一下一般情况下、针对小型的verilog模块进行测试时所需要使用到的testbench文件的编写要点。
    的头像 发表于 08-01 12:44 1513次阅读
    Verilog <b class='flag-5'>Testbench</b>怎么写 Verilog <b class='flag-5'>Testbench</b>文件的<b class='flag-5'>编写</b>要点

    UART整体的仿真方法testbench结构讲解

    仿真部分结构和设计类似,同样有波特率、接收数据和发送数据模型。仿真的实现比较灵活,不用考虑可综合性。
    的头像 发表于 06-05 16:08 1046次阅读
    UART整体的<b class='flag-5'>仿真</b><b class='flag-5'>方法</b>和<b class='flag-5'>testbench</b>结构讲解