0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于PCIE(mcap)的部分可重构实现方案

电子设计 来源:CSDN 博主 作者:向前行 2021-01-03 09:20 次阅读

本博文主要是对基于PCIE(mcap)的部分可重构实现的步骤做一个简单的演示,如有错误之处,欢迎批评指正。值得说明的是,基于PCIE的部分可重构需在ultrascale系列及ultrascale+芯片才能实现,具体哪些系列能实现哪种配置方式如下图所示:

o4YBAF9uJsSADE5RAAFmTD1i1do111.png

图1

本质上来说,无论是JTAG还是ICAP或者MCAP以及其它FPGA的配置方式,目的都是配置FPGA的逻辑。MCAP是通过PCIE来实现对FPGA的烧写,最终结果和使用JTAG进行FPGA烧写没有区别,而使用PCIE对FPGA烧写有更好的灵活性,在某些需求场合是必不可缺的,譬如在数据加速的部署,FPGA加速板卡是位于服务器端,在服务上线之后修改FPGA业务逻辑的话如果使用JTAG烧写,一方面操作十分不便,可行性极低,另一方面,重新烧写FPGA会导致原FPGA的PCIE设备从服务器中删除,需要重新启动服务器枚举设备(目前本人没有找到可以进入系统后重新枚举设备的方法),对于已经上线的服务器,在每次切换FPGA业务逻辑后重启服务器是无法接受的,因此,使用PCIE对FPGA的部分重配置就十分必要,部分重配置能够在保持FPGA静态逻辑(PCIE部分和其他非业务逻辑)正常工作的情况下动态修改某个区域的逻辑(业务逻辑)。使用这种技术能够不重启服务器情况下快速切换业务逻辑。

本文的实现基于Xilinx的VCU1525加速板卡实现,VCU1525的FPGA是一颗ultrascale+的VU9P,由上图可以知道UltraScale+系列的FPGA支持MCAP配置模式。下面由一个简单的例程实现MCAP部分重配置。

1.新建一个空白工程。

o4YBAF9uJsaAT3qxAAEIahP0tvk791.png

图2

2.因为使用MCAP的配置方式,而MCAP集成于PCIE硬核中,因此需要例化一个PCIE相关的IP,这里使用XDMA进行本次例程(裸PCIE硬核也可以),该例程没有XDMA进行数据DMA搬运的相关内容,仅仅是借用XDMA中的MCAP功能,本人目前也有一个比较痛苦的地方,就是XDMA的上位机驱动怎么和MCAP的驱动整合在一起,不懂上位机驱动开发表示很迷茫,有大佬懂这个可以探讨下。

3.在Board选项中(在建立工程时选择Xilinx官方板卡才有这个选项)选择如下。

pIYBAF9uJseAWkrKAACwWZmMLXU305.png

图3

4.在Basic选项中,把图中红色框中的Mode设置成Advanced。

pIYBAF9uJsmAJfrsAAEHcKEsmbc366.png

图4

5.在Basic选项中,在最底部的Tandem Configuration or Partial Reconfiguration中选择PR over PCIE。

o4YBAF9uJsuAeXFVAAED12L25Fo564.png

图5

6.在Pcie ID选项的Device ID中设置成8011(因为Xilinx提供的驱动支持8011,8038,506F)

pIYBAF9uJsyADitNAADp_pK0xfE244.png

图6

7.其它按照默认选项,生成该IP。

pIYBAF9uJs6AKeWaAACQPZzfmtk607.png

图7

8.考虑到测试和实现的方便,使用XDMA的Example Design来修改例程,在XDMA综合完成之后(记得选择OOC),打开该IP的Example Design,在该工程上面做修改。

o4YBAF9uJs-Ad90sAACHcewbYo8071.png

图8

9.Example Design如下图。

o4YBAF9uJtGAP0lPAAEX9FDHH8o425.png

图9

10.首先我们先修改XDC文件和工程顶层,主要是LED的管脚和电平约束。在这个例子中,我们将要实现使用两个可重构模块,一个模块用于控制VCU1525的LED灯亮,一个模块用于控制VCU1525的LED灯灭,用这个简单的例子来说明PR的实现步骤。

11.修改工程中的时钟生成IP,此步骤不是必要,主要是指定输入时钟管脚,如果不是官方板子,在XDC里面约束即可。

pIYBAF9uJtKAI2D-AAA6agzhgkM642.png

图10

12.新建两个模块,分别是LED_RM_0和LED_RM_1。其中LED_RM_0用于控制LED灭,LED_RM_1用于控制LED亮。两个模块的逻辑很简单,只是做演示作用。代码逻辑如下。

pIYBAF9uJtOAegZLAAAUfYudRF0028.png

图11

13.在工程顶层例化其中一个RM模块。

o4YBAF9uJtSAJX3JAABZFo0qvpQ903.png

图12

14.完成上述步骤之后,选择Tools->Enable Partial Reconfiguration...选项,将工程转换成支持PR类型的工程,在后续弹出的方框中选择Convert。

pIYBAF9uJtaAK-zeAAGnqGdlWto916.png

图13

15.此时工程已经转换完成,对比转换之前,在Flow Navigator的PROJECT MANAGER会多出Partial Reconfiguration Wizard选项。

o4YBAF9uJtiARhkeAAHXfHmWZEI331.png

图14

16.设置我们要进行部分重构的逻辑,即LED_RM_x模块,如下图所示,右键LED_RM_0,选择Create Partition Definition...

o4YBAF9uJtqADs_JAAJLfvq1YPM045.png

图15

17.在弹出的窗口中指定一个分区的名字,这里设置为LED_RM,点击OK。

pIYBAF9uJtyACGCEAABr514vhIw718.png

图16

18.完成上一步之后,LED_RM_0模块变成了一个黄色的棱形标志,如下图所示。

o4YBAF9uJt2ABxcAAABDX9vSgG4805.png

图17

19.打开Partial Reconfiguration wizard

pIYBAF9uJt-AOUEBAAENY1caBLY838.png

图18

20.在Edit Reconfiguration Modules界面中,点击“+”符号,把LED_RM_1添加进来,表明有两个重配置模块,添加完成后如下图所示,属于LED_RM分区定义列表里面有LED_RM_0和LED_RM_1两个重配置模块。

o4YBAF9uJuCALcBDAACuSoPOiGs491.png

图19

21.在Editing Configurations界面中,选择automatically create configurations,并修改Configuration Name,如下图所示。

pIYBAF9uJuGAOFjkAACwvEzVmTs034.png

图20

22.在Edit Configuration Runs界面中,选择automatically create configurations。

o4YBAF9uJuOAJncQAADab9KkXMo510.png

图21

23.最后,点击Finish,部分重配置的向导设置完成,然后点击Run Synthesis综合设计。

pIYBAF9uJuWABGFKAAKUBpw9QmY674.png

图22

24.综合完成之后打开综合设计。

o4YBAF9uJueAB1mxAABLkjIWfhM374.png

图23

25.在Floorplanning界面,右键LED_RM_0,选择Floorplanning->Draw Pblock,给RM模块划分重配置的区域。

o4YBAF9uJumATQ2SAAFLFf2Cq_0987.png

图24

26.在分配完重配置区域之后,保存相应的约束到xdc文件中,然后做DRC检查,检查分配的区域是否符合要求。

pIYBAF9uJuqALTEaAAF6SVPdn3o389.png

图25

27.DRC检查没有问题之后,点击Generate Bitstream.

o4YBAF9uJuyADICoAABjhWgIfy4644.png

图26

28.至此,部分重配置的工程就生成完毕,在生成出来的文件里面,在工程目录下会有两个imp的文件夹,里面会分别有静态逻辑和各自的重配置逻辑,我们将静态逻辑先烧写进去FPGA,之后就可以通过PCIE配置动态逻辑,关于MCAP的驱动的上位机,在Xilinx_Answer_64761__UltraScale_Devices这份文档中有详细的说明。

pIYBAF9uJu2Afuy7AAEOH-Oh-Lo341.png

图27

pIYBAF9uJvCAcYuhAAMhJGSXpUA661.png

图28

编辑:hfy

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1592

    文章

    21207

    浏览量

    592143
  • Xilinx
    +关注

    关注

    70

    文章

    2110

    浏览量

    119197
  • JTAG
    +关注

    关注

    6

    文章

    380

    浏览量

    71103
  • PCIe
    +关注

    关注

    13

    文章

    1061

    浏览量

    80595
收藏 人收藏

    评论

    相关推荐

    PCIe控制器(FPGA或ASIC),PCIe-AXI-Controller

    PCIe-AXI-Controller兼容PCI Express Base Specification Revision 3.1,实现PCIe PHY Layer,Data Link Layer以及
    的头像 发表于 02-21 15:15 158次阅读
    <b class='flag-5'>PCIe</b>控制器(FPGA或ASIC),<b class='flag-5'>PCIe</b>-AXI-Controller

    【正运动】高速高精,超高实时性的PCIe EtherCAT实时运动控制卡 | PCIE464

    产品摘要正运动技术PCIE464运动控制卡,提供高效的工业运动控制解决方案。用户可直接将PCIE464嵌入标准PC机快速实现高性能的EtherCAT运动控制功能。在“PC+运动控制卡”
    发表于 01-24 09:48

    体验紫光PCIE之使用WinDriver驱动紫光PCIE

    紫光的logos系列的PGL50H/PGL100H、logos-2全系列都集成gen2×4的PCIE硬核,且官方也提供了例程。 紫光的PCIE用起来还是挺方便的,生成IP的同时生成了对应的PCIE
    发表于 11-17 14:35

    新思科技成功实现与英特尔PCIe 6.0测试芯片的互操作性

    Express (PCIe)6.0 IP在端到端64GT/s的连接下,成功实现与英特尔PCIe 6.0测试芯片的互操作性。这一全新里程碑也将保证,在未来无论是集成了新思科技还是英特尔PCIe
    的头像 发表于 10-16 09:22 453次阅读

    PCIE采集系统:前端图像或ADC数据采集,通过PCIE传输至PC。实现PCIE 2.0 x1/x4/x8。

    数据采集PCIe
    明德扬科技
    发布于 :2023年09月08日 11:56:14

    基于FPGA的PCIE I/O控制卡通信方案

    本文介绍一个FPGA 开源项目:PCIE I/O控制卡。上一篇文章《FPGA优质开源项目– PCIE通信》开源了基于FPGA的PCIE通信Vivado工程,用于实现上位机通过
    的头像 发表于 09-01 16:18 1355次阅读
    基于FPGA的<b class='flag-5'>PCIE</b> I/O控制卡通信<b class='flag-5'>方案</b>

    项目承接案例:PCIE采集系统 前端图像或ADC数据采集,通过PCIE传输至PC。实现PCIE 2.0 x#

    PCIeADC数据
    明德扬助教小易老师
    发布于 :2023年08月30日 12:25:38

    PCIe AMBA集成指南

    本文档旨在提供关于将PCIe接口集成到基于AMBA的片上系统(SoC)的指导。 假设PCIe接口通过基于AXI或ACE协议的互连连接到SoC的其余部分。 读者应熟悉PCIe、AMBA
    发表于 08-17 07:25

    PCIe 6.0的优化设计方案探讨分析

    为了实现64GT/s的链路速度,PCIe 6.0采用脉冲幅度调制4级 (PAM4) 信号,在与32GT/s PCIe相同的单元间隔(UI)中提供4个幅度级别(2 位)。图1显示了三眼眼图与此前的单眼眼图的对比。
    发表于 08-05 09:33 566次阅读
    <b class='flag-5'>PCIe</b> 6.0的优化设计<b class='flag-5'>方案</b>探讨分析

    PCIe®标准演进历史

    各代 PCIe 标准之间的主要差异。 PCIe 3.0 PCIe2.0的传输速率为5 GT/s,但由于8b/10b编码方案的开销占比为20%,因此单lane的传输带宽为4Gb/s。
    的头像 发表于 07-26 08:05 876次阅读
    <b class='flag-5'>PCIe</b>®标准演进历史

    基于AMD FPGA的PCIE DMA逻辑实现

    AMD FPGA自带PCIE硬核,实现PCIE协议,把串行数据转换为并行的用户数据
    的头像 发表于 07-14 15:53 901次阅读
    基于AMD FPGA的<b class='flag-5'>PCIE</b> DMA逻辑<b class='flag-5'>实现</b>

    PCIe—Bar空间是怎么生效的?

    最近在研究cocotbext-pcie实现,对于学习PCIe还是挺有帮助的,陆陆续续做个总结,有时间也会在SpinalHDL下实现类似的方针功能。
    的头像 发表于 07-03 17:47 2382次阅读
    <b class='flag-5'>PCIe</b>—Bar空间是怎么生效的?

    基于AMD FPGA的PCIE DMA逻辑实现

    AMD FPGA自带PCIE硬核,实现PCIE协议,把串行数据转换为并行的用户数据,以UltraScale系列FPGA为例,其支持Gen1.02.03.04.0,1~16 Lanes,如下图所示。
    的头像 发表于 06-09 09:34 873次阅读
    基于AMD FPGA的<b class='flag-5'>PCIE</b> DMA逻辑<b class='flag-5'>实现</b>

    可以将多个PCIe设备连接到一般的单个PCIe控制器吗?

    ) 连接到处理器的单个 PCIe x4 控制器。 如果是,这是否称为 PCIe 分叉? (我想我在这里遗漏了一些明显的东西,从以前的经验/记忆来看,似乎上面应该是可能的。但也请指出 PCIe 标准的相关
    发表于 05-05 07:35