0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于Digilent的Arty Artix-35T FPGA开发板的DDR3读写控制

电子设计 来源:FPGA开源工作室 作者:FPGA开源工作室 2020-12-15 16:45 次阅读
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

将通过五篇文章来给大家讲解xilinx FPGA 使用mig IP对DDR3的读写控制,旨在让大家更快的学习和应用DDR3。

本实验和工程基于Digilent的Arty Artix-35T FPGA开发板完成。

软件使用Vivado 2018.1。

参考工程:ddr3_test。

第五篇:mig读写时序下板实现

1顶层文件和约束文件

ddr3_test.v

参见参考工程:ddr3_test。

ddr3.xdc

1. set_property PACKAGE_PIN E3 [get_ports clk]

2. set_property IOSTANDARD LVCMOS33 [get_ports clk]

3. set_property PACKAGE_PIN D9 [get_ports reset]

4. set_property PACKAGE_PIN E1 [get_ports init_calib_complete]

5. set_property IOSTANDARD LVCMOS33 [get_ports init_calib_complete]

6. set_property IOSTANDARD LVCMOS33 [get_ports reset]

2 下板实现读写时序

1>①完成综合和实现

pIYBAF9uEieAIrp1AAViErRYsfQ950.png

2>下载bit文件和debug文件。

pIYBAF9uEi2AZQc7AAYUKHUxaAM100.png

3>下载完成,查看波形。


pIYBAF9uEjeACWcEAAT3eiBQ_C0230.png

4>将app_wdf_data数据格式改为Unsigned Decimal。

pIYBAF9uEj2AEF0vAAVALKHmVFA645.png

5>查看写时序。

o4YBAF9uEkOAcmgvAAWiaV7G-80079.png


o4YBAF9uEkeAXgZ5AATOX99VEUc718.png

6>查看读时序。

pIYBAF9uEkyALeHtAASqvJJhyBc481.png


o4YBAF9uElCAKKe0AATsbTmGwJU921.png

基于xilinx mig ip对ddr3读写验证完成。

编辑:hfy


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1655

    文章

    22288

    浏览量

    630335
  • DDR3
    +关注

    关注

    2

    文章

    287

    浏览量

    43943
收藏 人收藏
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

    评论

    相关推荐
    热点推荐

    如何不用olimex ARM-USB-TINY-H debugger实现调试?

    我用的板子是Nexys4 DDR,也就是Artix-7 100T,具体型号是xc7a100ticsg324-1L。 我到e200_opensource/fpga/artydevkit
    发表于 11-10 08:15

    vivado连接Atry A7-35T死机怎么解决?

    前提条件: 1)开发板DigilentARTY A7-35T开发版,也就是《手把手教你设计CPU-RISC-V处理器》中介绍的那块板子
    发表于 11-07 06:05

    DDR3 SDRAM参考设计手册

    电子发烧友网站提供《DDR3 SDRAM参考设计手册.pdf》资料免费下载
    发表于 11-05 17:04 1次下载

    Hbirdv2移植到Nexys4 DDR和Nexys Video开发板

    /product-nexys-video-artix-7-fpga-trainer-board-for-multimedia-applications.html Hbirdv2对于不同开发板的移植步骤基本相同,可以直接新建Vivado工程,也可以在官方提供的
    发表于 10-31 07:26

    利用蜂鸟E203搭建SoC【4】——DDR200T内存扩展

    对应的DDR3型号。注意:此处不可随便选,DDR3型号不对应无法工作!Nuclei DDR200T FPGA开发板使用的是MT41K128M
    发表于 10-29 07:16

    基于FPGADDR控制器设计

    难以实现大量图像数据的缓存,因此借助开发板上存在的片外存储器DDR3 SDRAM对图像数据进行缓存。 DDR3 SDRAM(Double-Date-Rate Three Synchronous
    发表于 10-21 14:30

    基于DDR200T开发板的e203进行DDR3扩展

    IP DDR3控制器 RISC-V 基于DDR200T开发板原理图,找到所需要使用的DDR引脚,制成D
    发表于 10-21 12:43

    FPGA搭建DDR控制模块

    的缓存,因此借助开发板上存在的片外存储器DDR3 SDRAM对图像数据进行缓存。 DDR3 SDRAM(Double-Date-Rate Three Synchronous Dynamic Random
    发表于 10-21 10:40

    FPGA实现DDR控制模块介绍

    的缓存,因此借助开发板上存在的片外存储器DDR3 SDRAM对图像数据进行缓存。 DDR3 SDRAM(Double-Date-Rate Three Synchronous Dynamic
    发表于 10-21 08:43

    fpga开发板 璞致Artix-7系列之PA-Starlite Artix7 A735T 75T 100T 200T开发板用户手册-学习

    方式(USB Type-C或40P扩展口)。板载包括:1GB DDR3、128Mb QSPI Flash、64Kbit EEPROM、千兆以太网、HDMI输出、MIPI接口(除PA35T)、SD卡槽等。开发板集成USB转JTAG
    的头像 发表于 10-14 14:59 425次阅读
    <b class='flag-5'>fpga</b><b class='flag-5'>开发板</b> 璞致<b class='flag-5'>Artix</b>-7系列之PA-Starlite <b class='flag-5'>Artix</b>7 A735<b class='flag-5'>T</b> 75<b class='flag-5'>T</b> 100<b class='flag-5'>T</b> 200<b class='flag-5'>T</b><b class='flag-5'>开发板</b>用户手册-学习<b class='flag-5'>板</b>

    AD设计DDR3时等长设计技巧

    本文紧接着前一个文档《AD设计DDR3时等长设计技巧-数据线等长 》。本文着重讲解DDR地址线、控制信号线等长设计,因为地址线、控制信号线有分支,SOC有可能带有2片
    发表于 07-29 16:14 2次下载

    AD设计DDR3时等长设计技巧

    的讲解数据线等长设计。      在另一个文件《AD设计DDR3时等长设计技巧-地址线T型等长》中着重讲解使用AD设计DDR地址线走线T型走线等长处理的方法和技巧。
    发表于 07-28 16:33 4次下载

    【RK3568+PG2L50H开发板实验例程】FPGA部分 | DDR3 读写实验例程

    : Window11 PDS2022.2-SP6.4 芯片型号: PG2L50H-484 2.实验原理 开发板集成 1 颗 4Gbit(512MB)DDR3 芯片,型号为 MT41K256M16。DDR3
    发表于 07-10 10:46

    FPGA新品】正点原子L22开发板来了!采用紫光的Logos系列FPGA,适合工业控制、图像处理、高速通信等领域!

    FPGA新品】正点原子L22开发板来了!采用紫光的Logos系列FPGA,适合工业控制、图像处理、高速通信等领域! ATK-L22开发板
    发表于 04-21 17:28

    DDR3 SDRAM配置教程

    DDR3 SDRAM(Double-Data-Rate ThreeSynchronous Dynamic Random Access Memory)是DDR SDRAM的第三代产品,相较于DDR2,
    的头像 发表于 04-10 09:42 3770次阅读
    <b class='flag-5'>DDR3</b> SDRAM配置教程