0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于Digilent的Arty Artix-35T FPGA开发板的DDR3读写控制

电子设计 来源:FPGA开源工作室 作者:FPGA开源工作室 2020-12-15 16:45 次阅读

将通过五篇文章来给大家讲解xilinx FPGA 使用mig IP对DDR3的读写控制,旨在让大家更快的学习和应用DDR3。

本实验和工程基于Digilent的Arty Artix-35T FPGA开发板完成。

软件使用Vivado 2018.1。

参考工程:ddr3_test。

第五篇:mig读写时序下板实现

1顶层文件和约束文件

ddr3_test.v

参见参考工程:ddr3_test。

ddr3.xdc

1. set_property PACKAGE_PIN E3 [get_ports clk]

2. set_property IOSTANDARD LVCMOS33 [get_ports clk]

3. set_property PACKAGE_PIN D9 [get_ports reset]

4. set_property PACKAGE_PIN E1 [get_ports init_calib_complete]

5. set_property IOSTANDARD LVCMOS33 [get_ports init_calib_complete]

6. set_property IOSTANDARD LVCMOS33 [get_ports reset]

2 下板实现读写时序

1>①完成综合和实现

pIYBAF9uEieAIrp1AAViErRYsfQ950.png

2>下载bit文件和debug文件。

pIYBAF9uEi2AZQc7AAYUKHUxaAM100.png

3>下载完成,查看波形。


pIYBAF9uEjeACWcEAAT3eiBQ_C0230.png

4>将app_wdf_data数据格式改为Unsigned Decimal。

pIYBAF9uEj2AEF0vAAVALKHmVFA645.png

5>查看写时序。

o4YBAF9uEkOAcmgvAAWiaV7G-80079.png


o4YBAF9uEkeAXgZ5AATOX99VEUc718.png

6>查看读时序。

pIYBAF9uEkyALeHtAASqvJJhyBc481.png


o4YBAF9uElCAKKe0AATsbTmGwJU921.png

基于xilinx mig ip对ddr3读写验证完成。

编辑:hfy


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21330

    浏览量

    593302
  • DDR3
    +关注

    关注

    2

    文章

    268

    浏览量

    41795
收藏 人收藏

    评论

    相关推荐

    精选推荐!紫光盘古系列FPGA开发板信息汇总

    紫光盘古系列:盘古50K开发板 盘古50K开发板(MES50HP)采用了核心+扩展板的结构,核心与扩展板之间使用高速
    发表于 04-11 11:57

    DDR200T开发板FPGA模块和gd32vf103之间是通过什么传输数据的?

    目前想要通过DDR200T开发板做一个基于FPGA的卷积神经网络软硬协同加速器 DDR200T开发板F
    发表于 01-10 06:28

    阐述DDR3读写分离的方法

    DDR3是2007年推出的,预计2022年DDR3的市场份额将降至8%或以下。但原理都是一样的,DDR3读写分离作为DDR最基本也是最常用
    的头像 发表于 10-18 16:03 585次阅读
    阐述<b class='flag-5'>DDR3</b><b class='flag-5'>读写</b>分离的方法

    【米尔-全志T113-S3开发板- 极致双核A7国产处理器-试用体验】初玩全志T113-S3开发板试跑最高频率测试

    128MB DDR3 256MB Nand Flash -40℃~+85℃ MYC-YT113S3-4E128D-110-I T113-S3 128MB DDR3 4GB eMMC
    发表于 09-09 18:07

    基于FPGADDR3读写测试

    本文介绍一个FPGA开源项目:DDR3读写。该工程基于MIG控制器IP核对FPGA DDR3实现
    的头像 发表于 09-01 16:23 867次阅读
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>DDR3</b><b class='flag-5'>读写</b>测试

    基于AXI总线的DDR3读写测试

    本文开源一个FPGA项目:基于AXI总线的DDR3读写。之前的一篇文章介绍了DDR3简单用户接口的读写方式:《
    的头像 发表于 09-01 16:20 2298次阅读
    基于AXI总线的<b class='flag-5'>DDR3</b><b class='flag-5'>读写</b>测试

    arty A7 35T开发板用nuclei studio下载程序出错是为什么?

    用的arty A7 35T开发板,移植的蜂鸟内核,调试器用的ARM-USB-TINY-H,使用IDE下载程序时,出现下面的情况: 但是最后是这样的: 想问一下这样有没有下载成功
    发表于 08-16 08:04

    arty A7 35T开发板在执行GPIO中断函数的时候总是会连续进入两次中断的原因?

    移植蜂鸟内核的arty A7 35T 开发板在执行GPIO中断函数的时候总是会连续进入两次中断。
    发表于 08-16 07:30

    生成DDR200T开发板FPGA bit/mcs文件时如何下载Release package?

    求助,生成DDR200T开发板FPGA bit/mcs文件时需要下载Release package怎么下载?
    发表于 08-12 08:30

    基于Digilent Arty S7加速计的Windows鼠标

    电子发烧友网站提供《基于Digilent Arty S7加速计的Windows鼠标.zip》资料免费下载
    发表于 06-27 15:20 0次下载
    基于<b class='flag-5'>Digilent</b> <b class='flag-5'>Arty</b> S7加速计的Windows鼠标

    从零开始学习紫光同创FPGA——PGL22G开发板DDR3 IP简单读写测试(六)

    1.DDR3 IP简单读写测试实验例程 1.1** 实验目的** MES22GP 开发板上有一片 Micron 的 DDR3(MT41K256M16 TW107:P)内存组件,拥有 1
    发表于 06-25 17:10

    小眼睛FPGA盘古50K开发板概述

    小眼睛FPGA盘古50K开发板概述 盘古-50开发板(MES50H P)采用了核心板+扩展板的结构,核心板与扩展板之间 使用高速板对板连接器进行连接。核心板主要由FPGA+2颗
    发表于 06-14 15:00 1065次阅读
    小眼睛<b class='flag-5'>FPGA</b>盘古50K<b class='flag-5'>开发板</b>概述

    【视频】盘古Logos系列PGL22G关键特性评估@盘古22K开发板#紫光同创FPGA开发板

    【视频】盘古Logos系列PGL22G关键特性评估@盘古22K开发板#紫光同创FPGA开发板#基于紫光同创40nm工艺的FPGA主控芯片(
    发表于 06-12 17:38

    紫光同创FPGA入门指导:DDR3 读写——紫光盘古系列50K开发板实验教程

    一、实验要求 生成 DDR3 IP 官方例程,实现 DDR3读写控制,了解其工作原理和用户接口。 二、DDR3
    发表于 05-31 17:45

    紫光同创FPGA入门指导:DDR3 读写——紫光盘古系列50K开发板实验教程

    MES50HP 开发板简介 MES50HP 开发板集成两颗 4Gbit(512MB)DDR3 芯片,型号为 MT41K256M16。DDR3 的总线宽度共为 32bit。
    发表于 05-19 14:28