0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

CY7C68013A FX2LP与FPGA连接的方法

汽车玩家 来源:联合睿德 作者:admin 2020-01-27 17:44 次阅读

CY7C68013A概述

USB接口有着速度快,成本低的优点,在现代计算机上已经成为了必备接口。USB2.0 HIGH-SPEED接口理论速度为480Mbit/S,实际使用带宽在200M以上,在一些对可靠性以及实时性要求不是特别高的场合,USB简单易用开发容易的特点使得其成为最佳的通讯接口选择。赛普拉斯 EZ-USB®FX2LP™ (CY7C68013A/14A)是高度集成、低功耗 USB 2.0 的微控制器。其接口速率可以达到96Mbytes/s,且成本仅仅十多元RMB。

CY7C68013A(FX2LP)同FPGA连接

我们在一些定制设备中使用了USB接口,现在市场上最主流的USB外设接口芯片Cypress公司的CY7C68013A,为了实现高带宽的通讯,CY7C68013需要工作在GPIF或者SLAVE FIFO模式,初学者往往对这两种模式不是很清楚,结合我们已有的经验,我们对两种模式做一下简要的说明:

GPIF模式适合CY7C68013主动同外部芯片通讯,GPIF通过几个控制信号来实现状态机的转换,进而产生不同的时序。在外接SRAM、CMOS SENSORCPUDSP等场合可以使用这个模式,其中DSP、CPU工作在从模式;

SLAVE FIFO模式适合同FPGA等自身可以跑状态机的芯片配合使用。

在利用CY7C68013同FPGA通讯的时候,一般使用SLAVE FIFO模式。EZ-USB_TRM2中第9章即是详细讲述SLAVE FIFO模式的。在CY7C68013中一共有4个端点,每一个端点都可以收或者发,对应的SLAVE FIFO有2条地址线用来选择相应的端点缓冲区。SLAVE FIFO一个很重要的设置就是时钟设置,其可以工作异步或者同步模式,在实际使用时,推荐同步模式,为了降低成本,可以使用CY7C68013自身产生的48Mhz时钟,详细的设置说明可以看Fig.9-6。SLAVE FIFO有4个标志引脚用来指示FIFO状态(空、半满、满、自定义等),在FPGA中写状态机的时候需要用到这几个引脚,特别注意的是FLAGD引脚可以用作SLAVE FIFO的片选,当总线上有不止一个设备的时候需要用到这个功能,其他时候可以不考虑。其他的引脚和标准的FIFO没有什么特别,大家一看就可以明白。利用51程序将CY7C68013初始化好后,计算机同FPGA的通讯即可以打通。如果使用了多个端点,在FPGA上就要注意有一个有效的调度算法,以免某一个端点缓冲区内的数据迟迟不取出来导致通讯出错。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21331

    浏览量

    593302
  • 接口
    +关注

    关注

    33

    文章

    7651

    浏览量

    148549
  • usb
    usb
    +关注

    关注

    59

    文章

    7439

    浏览量

    258288
收藏 人收藏

    评论

    相关推荐

    CY7C68013A不能和labview连续通信

    各位高手: 我在做一个项目,母版FPGA主要是 Xilinx V5,子板USB2.0是CY7C68013A,USB采用异步slave fifo的bulk传输,主要过程是FPGA处理图像数据,经过
    发表于 06-28 10:31

    学习CY7C68013 USB开发绝佳资料,最强FX2LP核心板+最全开发资料

    FX2LP芯片是在Cypress公司第一款USB接口微处理器(EZ-USB FX2)基础上进行优化设计的结果。EZ-USB FX2LP系列芯片包括多种型号,例如CY7C68013A
    发表于 03-21 23:28

    轻松学习USB接口开发,最佳CY7C68013A开发板+最全开发手册

    。EZ-USB FX2LP芯片是在Cypress公司第一款USB接口微处理器(EZ-USB FX2)基础上进行优化设计的结果。EZ-USB FX2LP系列芯片包括多种型号,例如CY7C6801
    发表于 03-28 17:44

    CY7C68013A USB Board

    ——CY7C68013ACY7C68013A为美国赛普拉斯公司(Cypress)推出的一款集成了USB 2.0协议的微处理器。这款EZ-USB FX2LP提供了强大的功能,包括8051兼容的指令系统以及高速USB
    发表于 03-28 14:41

    用Cypress EZ-USB FX2 芯片 CY7C68013A 配置 Xilinx Spartan 6 FPGA的问题

    最近在做块板子,板子上用FX2 (CY7C68013A)采用SelectMAP模式从电脑下载FPGA代码到Xilinx Spartan 6完成配置。在SelectMAP模式中,FX2
    发表于 04-04 07:21

    fx2lpcy7c68013A)与图像传感器接口相同的固件适用于fx2lp18吗?

    你好,我已经连接FX2LPCY7C68013A)和一个图像传感器。硬件和固件是工作的。同样的固件对FX2LP18是很好的吗?根据FX2LP
    发表于 09-20 15:40

    抽象控制模型请求(FX2LP

    /download My apology for writing in Japanese.Device:CY7C68013A-56PVXCT(FX2LP) USB CDC(Communication
    发表于 09-26 17:13

    fx2lp slavefifo将LV和FV连接到RDY1和RDY0是强制的吗?

    我指的是FX2LP SLaveFIFO示例,将图像传感器与FX2LP交互,我使用CY7C68013A 56针,它有两个就绪信号。RDY1/SLWR引脚NO在2,RDY0/SLRD引脚N
    发表于 11-09 17:06

    使用CY7C68013A-56LTXC无法进行MPEG TS捕获

    我们最近尝试使用CY7C68013A-56LTXC捕获并行的8位MPEG TS,并将这些MPEG TS送到USB端口。为此,我们参考了设计FX2LPYDBMH THZTVTIGLE,并准备了我们自己
    发表于 11-23 15:11

    fx2lp-CY7C68013A/CY7C68015A linux侧支持构建固件吗

    支持从柏树建立Linux端的USB固件?2)在Linux主机上测试固件的工具是什么?3)我们有什么例子能给出相同的想法吗?4)关于CY7C68013A系列芯片的Linux驱动程序,比如我们有
    发表于 01-28 10:32

    FX2LP芯片浮动CLKOUT引脚有什么问题

    大家好,谢谢你们的帮助,我正在创建一个硬件FPGA设计,使用FX2LPUSB芯片。我考虑浮动(不连接任何东西)从我的CY7C68013A - 100AXIFX
    发表于 02-14 15:04

    cy7c68013a u***发生故障

    您好,我使用这个CY7C68013A在我做的板上,但是当我把它连接到PC时,我得到“USB设备不被识别”。附在这台计算机上的一个USB设备出现故障,Windows无法识别它。“我尝试了来自
    发表于 03-04 16:12

    使用CyUSB.dll和LabVIEW访问FX2LP失败

    CY7C68013A是否被连接。当它在方法“GETIIGION”之后运行时,LabVIEW将崩溃。如果你能给我一些建议或一个例子,那真是太好了。 以上来自于百度翻译 以下为原文I am trying
    发表于 04-11 11:39

    如何从bulkloop失败示例开始做新的CY7C68013A

    你好,我是新的CY7C68013A,并尝试做例子BulkLoad开始。在我把BulkLoop.HEX加载到我的板的RAM之后,“USB控制中心”再也找不到板了。但我仍然可以通过Windows设备管理器找到我的板“CyPress FX2LP示例设备”。有人能帮忙吗?谢谢。N
    发表于 10-24 10:04

    基于USB芯片CY7C68013A的上位机

    标签:基于USB芯片CY7C68013A的上位机C基于USB芯片CY7C68013A的上位机C程序在国标《质量管理体系 基础和术语》GB/T19000—2008/ISO9000:200
    发表于 08-06 09:58