电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>电子技术应用>电子技术>电路图>电工基础电路图>单片ZH8901编译码电路

单片ZH8901编译码电路

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

单片ZH8901译码电路

单片ZH8901译码电路
2009-04-18 15:36:55908

ADPCM编译码系统电路

ADPCM编译码系统电路 模拟信号
2009-10-11 11:07:301294

简易编译码电路

简易编译码电路
2009-05-19 10:47:269

PCM编译码实验

PCM编译码实验 一、实验目的1. 掌握PCM编译码
2008-10-21 13:35:457675

基于FPGA的3B4B编译码电路

基于FPGA的3B4B编译码电路
2017-02-07 14:58:1811

编译码一体电路

编译码一体电路
2009-04-18 15:34:48692

单片ZH9401编码电路

单片ZH9401编码电路
2009-04-18 15:38:00845

PCM编译码 实验

实验九  PCM编译码    一、实验目的     1. 掌握PCM编译码原理。    2. 掌握PCM基带信号的形成过程
2009-04-01 14:44:499420

CMI编译码电路的设计

数字光纤通信系统的原理框图如图1所示(其中调制器与解调器包括电光转换与光电转换功能),我们所做的工作是设计信道编译码部分的电路,在通信系统中所处的位置如图中虚线
2010-04-20 22:33:1283

译码器定义

译码器1. 译码器定义译码器是一种用以检测输入位(码)的特定组合是否存在,并以特定的输出电平来指示这种特定码的存在的数字电路。——《数字电子技术基础系统方法》译码器的功能是将具有特定含义的二进制码
2021-12-07 09:37:27

数字编译码红外遥控电路的工作原理

本文介绍用配套数字编译码器YN5103/YN5203组装的红外遥控电路,最多可提供12位地址或6位数据、译码输出有锁存L和暂留M两种模式供选择。用于一发多收、一收多发的红外遥控电路中。
2018-09-25 11:09:004003

LDPC编译码

LDPC编译码LDPC码是一种线性分组奇偶校验码,它采用基于因子图的置信传播(Belief Propagation,BP)迭代译码算法进行译码。其性能接近Turbo码,不规则LDPC码甚至超过Tur
2009-03-01 17:36:051696

基于FPGA 的LDPC 码编译码器联合设计

该文通过对低密度校验(LDPC)码的编译码过程进行分析,提出了一种基于FPGA 的LDPC 码编译码器联合设计方法,该方法使编码器和译码器共用同一校验计算电路和复用相同的RAM 存储块,有效减少
2017-11-22 07:34:013676

!!卖 HP8901B HP8901B 调制分析仪 HP 8

!!卖 HP8901B HP8901B 调制分析仪 HP 8901B 谭艳飞13543805887欧阳S
2008-10-14 11:12:10505

PCM编译码模块原理

PCM编译码模块原理 本模块的原理方框图图5-2所示,模块内部使用+5V和-5V电压,其中-5V电压由-12V电源经7905变换得到。
2008-10-21 13:31:106776

基于Nios的通用编译码器的设计

本文利用可编程逻辑的灵活性和Nios 的强大处理能力,将多种编译码模块和微处理器模块集成到一片FPGA 内部,方便地实现了通用编译码器的设计。由于采用了VHDL 语言,使系统具有可移
2009-11-30 14:27:5622

基于软件无线电的NRLDPC编译码设计与实现

基于软件无线电的NRLDPC编译码设计与实现。
2021-05-28 10:07:045

曼彻斯特电压/电流编译码系统设计方案

这种芯片有一些不足。首先,该芯片在传输速率和每帧数据中的有效位数等方面都做了严格的限制。其次,使用该芯片需要增加额外的硬件电路,提高了系统成本。使用FPGA做曼彻斯特编译码成本高,而且开发周期长。本文提出了一种基于STM32F103RET6的编译码系统方案,
2017-11-27 11:31:284

基于VHDL语言的循环码编译码系统的设计

电子发烧友网站提供《基于VHDL语言的循环码编译码系统的设计.pdf》资料免费下载
2023-10-13 14:31:370

电话接口及其PCM编译码和时分复用实验

电话接口及其PCM编译码和时分复用实验 一、实验目的1. 全面了解用户线接口电路功能(BORST)的作用及其实现方法。2. 通过对PBL38710电路的学习
2010-06-02 11:14:1432

MAX8901-MAX8901B中文资料pdf

MAX8901, MAX8901A, MAX8901B 效率最高的2至6只串联WLED供电电源,2mm x 2mm TDFN封装
2008-05-07 11:37:1118

常用的译码电路有哪些

常用的译码电路有哪些?本文主要介绍了IO接口地址译码电路、BCD译码驱动器电路、4线16线译码电路、3.8线译码电路以及继电器译码电路。继电器译码电路的作用是在单片机的控制下将1 536个测试点
2018-03-02 15:48:2037712

G.723.1编译码算法的DSP实现

【摘 要】 介绍了ITU-TG.723.1标准语音编译码器的算法及其在ADSP-2181芯片上的实现 。软硬件结合实现了语音信号的采样和实时编译码,完全符合ITU-TG.723.1标准的定点算法
2009-05-10 19:54:111206

Turbo码的编译码基本原理和常用编译码算法分析及仿真程序

第三代移动通信系统多种方案中,考忠将Turbo码作为无线信道的编码标准之- ~。 本文讨论了Turbo码的编译码基本原理,对Turbo码的几种常用的编译码算法进行了分析,并在给出编译码器模型的基础上,用MATLAB语言实现了整个系统的计算机仿真并给出参
2019-01-04 10:40:4218

汉明码编译码器的数据手册免费下载

本文档的主要内容详细介绍的是汉明码编译码器的数据手册免费下载。
2019-12-13 08:00:000

基于BIST的编译码器IP核测

基于BIST的编译码器IP核测 随着半导体工艺的发展,片上系统SOC已成为当今一种主流技术。基于IP复用的SOC设计是通过用户自定义逻辑(UDL)和连线将IP核整合
2008-12-27 09:25:39741

基于STM32F103RET6的编译码系统

本文提出了一种基于STM32F103RET6的编译码系统方案,利用了STM32F103RET6强大的定时器功能,采用灵活的编译码方式,传输速率和数据帧格式都可以根据需要完全自行定义。
2012-03-04 14:38:456327

G.723.1编译码算法的DSP实现

介绍了ITU-TG.723.1标准语音编译码器的算法及其在ADSP-2181芯片上的实现 。软硬件结合实现了语音信号的采样和实时编译码,完全符合ITU-TG.723.1标准的定点算法,通过了ITU-T的所
2011-07-06 09:49:071218

赫夫曼编译码系统的设计与实现

从赫夫曼树定义及算法出发,介绍了一个赫夫曼编译码系统的设计与实现过程。这对于深入理解数据结构、程序设计有益。
2011-10-19 14:44:0131

RS编译码的FPGA实现研究

基于FPGA的RS码电路设计,编码译码原理。
2016-03-30 16:32:422

双网传真机的编译码电路设计

双网传真系统完成与Internet和PSTN网络的传真通信,采用嵌入式系统的设计思路划分软硬件。其中,传真协议采用软件实现,传真编译码和外围设备控制器采用硬件实现,以降低设计复杂
2011-07-12 11:19:591423

带STM32F413ZH单片机的探索套件

带STM32F413ZH单片机的探索套件
2022-11-22 08:21:510

LTE标准下Turbo码编译码器的集成设计

针对固定码长Turbo码适应性差的缺点,以LTE为应用背景,提出了一种帧长可配置的Turbo编译码器的FPGA实现方案。该设计可以依据具体的信道环境和速率要求调节信息帧长,平衡译码
2010-11-11 16:07:5926

RS编译码的一种硬件解决方案

摘要:提出了基于欧氏算法和频谱分析相结合的RS码硬件编译码方法;利用FPGA芯片实现了GF(2 8)上最高速率为50Mbps、最大延时为640ns的流式译码方案,满足了高速
2009-06-20 14:19:33802

基于89C51单片机的编码译码显示实验电路设计

基于89C51单片机的编码译码显示实验电路设计
2017-01-04 11:34:341

基于FPGA的高速RS编译码器实现

本文介绍了 RS[ 255, 223 ]编译码器的 FPGA设计和基于线形反馈移位寄存器的编码器设计 , 以及由伴随式计算、关键方程求解、钱氏搜索、Forney算法等功能模块组成的译码器。为了实现简单
2012-05-22 10:43:4045

带STM32F413ZH单片机的探索套件

带STM32F413ZH单片机的探索套件
2022-11-23 08:30:030

MT896X系列PCM滤波器、编译码

PCM编译码器是数字通信中必不可少的部件,MT896X系列编译码器性能满足CCIT T 和ATT规范要求、且还有环回、测试等各种附加功能,片上还集成了4/5个独立的驱动器,可简化交换机用户环路
2009-04-23 14:22:4020

单片机制作译码器实验程序+文档

单片机制作译码器实验程序+文档 单片机制作译码器实验程序+文档 单片机制作译码器实验程序+文档
2015-12-29 15:51:512

ZH9576的功能电路及引脚管脚定义图

本文是ZH9576的功能电路及引脚管脚定义图
2011-03-20 16:46:552474

如何使用FPGA实现结构化LDPC码的高速编译码

结构化LDPC码可进行相应扩展通过对编译码算法,优化编译码结构进行调整,降低了编译码嚣硬件实现中的关键路径迟延,并采用Xilinx公司的Virtex一4 VLX80 FPGA芯片实现了一个码长10 240,码率1/2的非正则结构化LDPC码编码器和译码器。实现结果表明:该编码器信息吞吐量为1.878 Gb/
2021-03-26 15:58:0012

PLL译码电路

PLL译码电路
2009-04-12 13:15:57496

控制信号译码电路

控制信号译码电路
2009-02-25 21:54:51472

MAX8901A/MAX8901B可驱动2至6只WLED的升

  MAX8901A/MAX8901B升压转换器能够以固定电流驱动2至6只串联白光LED (WLED),为手机、PDA和其它手持设备提供亮度
2010-12-21 09:39:48498

[8.11.1]--电话信号的编译码

移动电话通信原理编译码
jf_90840116发布于 2022-11-22 14:18:48

基于PCI总线的RS编译码接口卡的设计

本文从研究应用于AOS系统的RS(255,223)编译码接口卡出发,深入地分析和研究了纠错码原理、RS编译码算法与设计、PCI总线标准与设计和FPGA技术。 随着科技的发展,纠错码技术在通信领域
2017-08-31 14:50:394

CMOS PLL译码电路

CMOS PLL译码电路
2009-04-18 11:07:44542

4017译码电路

4017译码电路
2009-04-18 11:06:351248

基于Turbo码编译码算法的FPGA实现突发数据通信

中小长度的数据报文业务为主,所以突发通信中的Turbo码的码长也是中等长度以下的。本文面向突发数据通信中的信道编码应用,研究了短帧长Turbo码编译码算法的FPGA实现。实现中采用了优化的编译码算法,以降低译码复杂度和译码延时。最后仿真和测试了Turbo译码器的纠错性能和吞吐量。
2018-07-12 08:15:003017

[8.11.1]--电话信号的编译码

移动电话通信原理编译码
jf_90840116发布于 2022-11-22 14:19:53

编码译码集成电路VD5026 VD5027

  VD5026,VD5027是CMOS大规模数字集成电路(见图1)。前者是编码器,后者是译码器。他们组合应用起来构成一个发射—接收数字编译码系统。
2010-11-12 16:25:10198

!!销售/回收HP8901B调制度分析仪HP8901B 小兵

!!销售/回收HP8901B调制度分析仪HP8901B 小兵/李S 东莞市银通电子仪器有限公司联系人:欧阳小兵/李小姐手机:13332662998/13790420385电话:0769-87912629传真:0769-87912842MAIL:20
2008-12-19 15:29:44314

译码器,译码器是什么意思

译码器,译码器是什么意思 译码器是组合逻辑电路的一个重要的器件,其可以分为:变量译码和显示译码两类。  变量译码
2010-03-08 16:32:185062

基于单片机的DTMF信号的译码算法的设计

 在很多情况下,DTMF译码器输出的数据仍需送入单片机进行相应的运算及处理,进而控制其它各种设备的动作。因此,如果能找到一种基于单片机的DTMF信号的译码算法,再辅之以
2010-07-02 09:55:56628

计数/译码显示电路

计数/译码显示电路:       计数译码显示在现代科学技术中应用非常广泛,它由计数器、译码器和显示器三部分组成,包含数字电子系统的组合逻辑电路
2009-12-20 12:36:59128

单片机制作译码器实验程序 【C语言版】

单片机制作译码器实验程序 【C语言版】单片机制作译码器实验程序 【C语言版】单片机制作译码器实验程序 【C语言版】
2015-12-29 13:50:143

译码

译码译码是编码的逆过程,即将某个二进制翻译成电路的某种状态。实现译码操作的电路称为译码器。
2008-09-27 12:59:0611977

集成电路译码

集成电路译码器 1.74138集成译码器   上图为常用的集成译码器74138,其功
2009-04-07 10:24:177830

基于CPLD的HDB3码编译码器的设计

摘要:在数字通信中,选择合适在信道中传输的码型是十分重要的,HDB3码是比较常用的信道传输码型,因此HDB3码的编译码就显得非常重要.多数的数字基带信号用单极性不归零码(NR
2010-05-17 09:08:4941

51单片机:74LS138译码实验

51单片机:74LS138译码实验一、实验内容通过单片机P1.2P1.0控制74LS138译码器的使能及译码输入端口,控制其译码输出端口(Y7Y0)。(74LS138译码单元C、B、A分别连接
2021-11-12 14:21:0026

简易编、译码电路

简易编、译码电路
2009-05-27 14:16:491142

HYY27/28译码电路

HYY27/28译码电路
2009-04-18 11:09:19771

基于用单片机实现DTMF信号译码

电子发烧友网站提供《基于用单片机实现DTMF信号译码.pdf》资料免费下载
2023-10-20 09:49:040

基于译码器扩展的单片机键盘输入电路

文章从单片机系统键盘输入电路的各种方式入手,先后对各种不同输入方式进行了探讨,对带译码器扩展的动态扫描键盘输入方式进行了重点讨论和分析。
2016-03-28 17:59:036

译码器集成电路TC9150电路

译码器集成电路TC9150电路
2009-04-18 15:38:341519

PPM UAA4009译码电路

PPM UAA4009译码电路
2009-04-18 11:10:03676

计数译码显示电路

计数译码显示在现代科学技术中应用非常广泛,它由计数器、译码器和显示器三部分组成,包含数字电子系统的组合逻辑电路和时序逻辑电路,因此本实验是一个综合性的实
2008-10-09 18:19:54115

利用矩阵形式的译码显示电路

利用矩阵形式的译码显示电路
2009-04-10 10:08:54660

29MHz接收译码电路

29MHz接收译码电路
2009-03-13 20:29:32544

编码译码显示实验电路的结构、设计及仿真研究

编码译码显示电路的基本结构如图1 所示, 主要由控制电路、编码信号发生器、编码译码显示电路等组成,控制电路产生编码信号作为编码译码显示电路输入信号, 译码电路将编码信号转换成对应的七段数码显示信号, 送至LED 数码管显示。
2018-12-30 09:04:008343

DFN 封装的热性能-AN90023_ZH

DFN 封装的热性能-AN90023_ZH
2023-02-16 21:17:480

增量调制编码译码实验

 增量调制(ΔM)编译码实验 一、实验目的 1、  了解语音信号的ΔM编码过程;
2008-10-21 13:24:207520

ZH150-5/2.5-0-SP Raychem / TE Connectivity INSTALITE ZH-150热缩管

电子发烧友网为你提供TE(ti)ZH150-5/2.5-0-SP相关产品参数、数据手册,更有ZH150-5/2.5-0-SP的引脚图、接线图、封装手册、中文资料、英文资料,ZH150-5/2.5-0-SP真值表,ZH150-5/2.5-0-SP管脚等资料,希望可以帮助到广大的电子工程师们。
2020-10-23 19:06:54

已全部加载完成