0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>

可编程逻辑

提供权威的PLD及可编程逻辑器件设计应用、Altera公司、Xilinx公司资讯和解决方案,包括HDL语言与源代码、FPGA开发板、EDA工具、FPGA、FPGA软件等领域。

有利于验证未测试功能的RTL缓冲器插入和故障分级技术

有利于验证未测试功能的RTL缓冲器插入和故障分级技术 目前,集成电路的设计周期要求更短,但是规模却更大,结构更复杂,提高芯片的测试覆盖率成为人们关注的焦点之...

2009-03-28 标签:缓冲器 695

用单片机配置CPLD器件

用单片机配置CPLD器件

用单片机配置CPLD器件 ALTERA公司的可编程序逻辑器件APEX20K、FLEX10K和FLEX6000虽应用广泛,但由于其内部采用SRAM存储配置数据,每次系统上电时,必须用配置芯片对其进行配置...

2009-03-28 标签:cpld 1080

xc9572应用

xc9572应用

xc9572应用 介绍了HDB3编解码的原理和方法,给出了用CPLD(Complex Programmable Logic Device)实现E1信号HDB3编解码的方法,同时给出了它的实现原理图,最后给出...

2009-03-28 标签:xc9572 5641

状态机举例

状态机举例 你可以指定状态寄存器和状态机的状态。以下是一个有四种状态的普通状态机。 // These are the symbolic names for states// 定义状态的符号名称parameter  [1...

2009-03-28 标签:状态机 902

PicoBlaze处理器IP Core的原理与应用

PicoBlaze处理器IP Core的原理与应用

PicoBlaze处理器IP Core的原理与应用 详细分析8位微处理器IP core PicoBlaze的结构、原理与设计方案;介绍PicoBlaze的指令集和调试工具pblazeIDE,讨论PicoBlaze的编程方案和应用设计实...

2009-03-28 标签:处理器FPGAIP核 831

Xilinx Foundation F3.1的结构及设计流程

Xilinx Foundation F3.1的结构及设计流程

Xilinx Foundation F3.1的结构及设计流程 介绍了Xilinx Foundation F3.1可编程器件开发工具软件的组成和功能,同时介绍了该软件工具中设计入口工具和设计实现工具的主要功能...

2009-03-28 标签:Xilinx 1570

用XC9572实现HDB3编解码设计

用XC9572实现HDB3编解码设计

用XC9572实现HDB3编解码设计 介绍了HDB3编解码的原理和方法,给出了用CPLD(Complex Programmable Logic Device)实现E1信号HDB3编解码的方法,同时给出了它的实现原...

2009-03-28 标签:xc9572可编程逻辑器 1489

非多路复用与多路复用总线转换桥的设计与实现

非多路复用与多路复用总线转换桥的设计与实现

非多路复用与多路复用总线转换桥的设计与实现 提出了一种新颖的非多路复用总线与多路复用总线的转换接口电路。以两种总线的典型代表芯片TMS...

2009-03-28 标签:SJA1000TMS320F206 819

基于流水线技术的并行高效FIR滤波器设计

基于流水线技术的并行高效FIR滤波器设计

基于流水线技术的并行高效FIR滤波器设计 基于流水线技术,利用FPGA进行并行可重复配置高精度的FIR滤波器设计。使用VHDL可以很方便地改变滤波器的系数和阶数。在DSP中采用...

2009-03-28 标签:FPGA滤波器 740

在系统可编程模拟器件ispPAC10及其应用

在系统可编程模拟器件ispPAC10及其应用

在系统可编程模拟器件ispPAC10及其应用 介绍lattice半导体公司推出的可编程模拟器件ispPAC10内部结构及设计应用。该控制芯片可方便的完成对信号的放大,衰减及滤波的功能。...

2009-03-28 标签:ispPAC10 1169

面向未来的IC设计方法

面向未来的IC设计方法 ...

2009-03-28 标签:集成电路 611

换体DMA高速数据采集电路的CPLD实现

换体DMA高速数据采集电路的CPLD实现

换体DMA高速数据采集电路的CPLD实现 介绍了换体DMA高速数据采集电路原理及其CPLD实现。用CPLD设计双端口RAM缓存、控制译码、时序逻辑电路,很好地解决了电路元件所占体积...

2009-03-28 标签:dma 681

基于CPLD的非多路复用与多路复用总线转换桥的设计与实现

基于CPLD的非多路复用与多路复用总线转换桥的设计与实现

基于CPLD的非多路复用与多路复用总线转换桥的设计与实现 微处理器对外并行总线接口方式一般分为两种,一种为多路复用方式,数据与地址采用共用引脚,分时传输;另一...

2009-03-28 标签:cpld微处理器 761

基于DSP与CPLD的I2C总线接口的设计与实现

基于DSP与CPLD的I2C总线接口的设计与实现

基于DSP与CPLD的I2C总线接口的设计与实现 带有I2C总线接口的器件可以十分方便地将一个或多个单片机及外围器件组成单片机系统。尽管这种总线结构没有并行总线那...

2009-03-28 标签:dspcpld 1134

VHDL语言应用实例指导

VHDL语言应用实例指导

VHDL语言应用实例指导 VHDL中的标识符可以是常数、变量、信号、端口、子程序或参数的名字。使用标识符要遵守如下法则...

2009-03-20 标签:VHDL语言 2078

VHDL语言的组合电路设计

实验八、VHDL语言的组合电路设计一  实验目的1掌握VHDL语言的基本结构及设计的输入方法。2掌握VHDL语言的组合电路设计方法。二  实验设备与仪器...

2009-03-13 标签:VHDL语言vhdl 2380

VHDL并行语句(生成语句)使用练习

VHDL并行语句(生成语句)使用练习

实验七、VHDL并行语句(生成语句)使用练习一  实验目的1掌握VHDL语言的基本描述语句的使用方法。2掌握VHDL语言的生成语句的使用方法。二  实...

2009-03-13 标签:VHDL语言vhdl 2492

VHDL的基本描述语句设计

实验六、VHDL的基本描述语句设计一  实验目的1掌握VHDL语言的基本结构及设计的输入方法。2掌握VHDL语言的基本描述语句的使用方法。二  实验设备...

2009-03-13 标签:VHDL语言vhdl 2007

一种交织器和解交织器的FPGA电路实现

一种交织器和解交织器的FPGA电路实现

一种交织器和解交织器的FPGA电路实现 交织和解交织是组合信道纠错系统的一个重要环节,交织器和解交织器的实现方法有多种。本文利用Altera公司开发的Quartus软...

2009-02-08 标签:FPGA 1556

用FPGA实现FFT算法

用FPGA实现FFT算法

引言  DFT(Discrete Fourier Transformation)是数字信号分析与处理如图形、语音及图像等领域的重要变换工具,直接计算DFT的计算量与变换区间长度N的平方成正...

2009-02-08 标签:FPGA 701

采用PCM编码原理及FPGA编程技术实现PCM数字基群接口传

采用PCM编码原理及FPGA编程技术实现PCM数字基群接口传

采用PCM编码原理及FPGA编程技术实现PCM数字基群接口传输低速数据的接入 一、概述 ----高速传输系统中低速设备...

2009-02-08 标签:FPGA 1698

基于FPGA的载波调制系统

基于FPGA的载波调制系统

基于FPGA的载波调制系统 电力线载波(PLC)通信作为电力系统特有的通信方式,广泛用于电力系统的调度通信、生产指挥、行政业务通信以及其他各种信息的传输。随着数字通...

2009-02-08 标签:FPGA 1190

基于Xilinx器件的CPRI协议实现方法

基于Xilinx器件的CPRI协议实现方法

基于Xilinx器件的CPRI协议实现方法 引言目前,分布式基站主要采用两种开放式接口标准:无线设备和无线设备控制部分分离的CPRI接口(Common Public Radio Interface)标准,还有...

2009-02-08 标签:Xilinx 3598

什么是Verilog HDL?

什么是Verilog HDL?

什么是Verilog HDL? Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统...

2009-01-18 标签:Verilog 3801

读写24LCxx系列的EEPROM的实例程序

读写24LCxx系列的EEPROM的实例程序 ;********************************************************  ;*           &nbs...

2009-01-16 标签:EEPROM 2148

扩频通信调制器的FPGA设计与仿真

扩频通信调制器的FPGA设计与仿真

扩频通信调制器的FPGA设计与仿真 近年来,随着经济的高速增长,无线通信得到了飞速地发展。由于扩展频谱信号具有抗干扰、保密、抗侦破和抗衰落等特点,扩频通信...

2008-10-16 标签:FPGA无线通信 742

虚拟FPGA逻辑验证分析仪的设计

虚拟FPGA逻辑验证分析仪的设计

虚拟FPGA逻辑验证分析仪的设计 随着FPGA技术的广泛使用,越来越需要一台能够测试验证FPGA芯片中所下载电路逻辑时序是否正确的仪器。目前,虽然Agilent、Tektronix 等大公司生...

2008-10-15 标签:FPGA 579

基于FPGA NiosII的MPEG-4视频播放器

基于FPGA NiosII的MPEG-4视频播放器

基于FPGA NiosII的MPEG-4视频播放器介绍Altera FPGA SOPC平台上MPEG-4视频播放器的实现。以NiosII用户自定义指令方式实现IQ、IDCT...

2008-10-09 标签:FPGA 1503

Xilinx推出全球首款单片FPGA解决方案,用于构建40G

Xilinx推出全球首款单片FPGA解决方案,用于构建40Gb/100Gb以太网 全球可编程逻辑解决方案领导厂商赛灵思公司(Xilinx)日前宣布,为开发下一代以太网桥接和交换解决方案的电...

2008-09-28 标签:Xilinx 565

安富利与赛普拉斯联合发布Spartan-3A FPGA评估套

安富利与赛普拉斯联合发布Spartan-3A FPGA评估套件升级版 安富利公司旗下之安富利电子元件部 (Avnet Electronics Marketing) 美洲业务区与赛普拉斯半导体公...

2008-09-25 标签:赛普拉斯安富利 698

编辑推荐厂商产品技术软件/工具OS/语言教程专题