0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

用Tcl实现Vivado设计全流程

FPGA技术驿站 来源:Lauren的FPGA 作者:Lauren的FPGA 2020-11-20 10:56 次阅读

在开始描述Non-project模式之前,我们要先确定执行的操作,通常包括如下操作:

设置芯片型号,设置源文件位置,设置生成文件位置,添加设计源文件,流程命令,生成网表文件,设计分析,生成bitstream文件。其中,流程命令是指综合、优化、布局、物理优化和布线。

设置芯片型号、源文件位置和生成文件位置如下图所示(文件名run_v1.tcl)。代码第5行设置了顶层模块的名字,第6行设置了源文件的位置,第7行设置了综合阶段生成文件的位置,第8行设置了实现阶段生成文件的位置。第10行至第14行则是实现阶段的每个子步骤的Directive。


责任编辑:lq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    447

    文章

    47788

    浏览量

    409112
  • TCL
    TCL
    +关注

    关注

    10

    文章

    1658

    浏览量

    87932
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65099

原文标题:用Tcl实现Vivado设计全流程(2)

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Vivado设计流程指导手册

    Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,一般简单设计中,我们常用的是 Project Mode。在本手册中,我们将以一个简单的实验案例,一步一步的完成 Vivado的整个设计流程
    发表于 09-20 07:37

    vivado主界面及设计流程

    Vivado设计主界面,它的左边是设计流程导航窗口,是按照FPGA的设计流程设置的,只要按照导航窗口一项一项往下进行,就会完成从设计输入到最后下载到开发板上的整个设计流程
    的头像 发表于 09-17 15:40 1633次阅读
    <b class='flag-5'>vivado</b>主界面及设计<b class='flag-5'>流程</b>

    Vivado设计套件用户指南(设计流程概述)

    电子发烧友网站提供《Vivado设计套件用户指南(设计流程概述).pdf》资料免费下载
    发表于 09-15 09:55 1次下载
    <b class='flag-5'>Vivado</b>设计套件用户指南(设计<b class='flag-5'>流程</b>概述)

    Vivado设计套件用户指南:使用Tcl脚本

    电子发烧友网站提供《Vivado设计套件用户指南:使用Tcl脚本.pdf》资料免费下载
    发表于 09-14 14:59 0次下载
    <b class='flag-5'>Vivado</b>设计套件用户指南:使用<b class='flag-5'>Tcl</b>脚本

    Vivado设计套件Tcl命令参考指南

    电子发烧友网站提供《Vivado设计套件Tcl命令参考指南.pdf》资料免费下载
    发表于 09-14 10:23 1次下载
    <b class='flag-5'>Vivado</b>设计套件<b class='flag-5'>Tcl</b>命令参考指南

    Vivado Design Suite用户指南:使用Tcl脚本

    电子发烧友网站提供《Vivado Design Suite用户指南:使用Tcl脚本.pdf》资料免费下载
    发表于 09-13 15:26 0次下载
    <b class='flag-5'>Vivado</b> Design Suite用户指南:使用<b class='flag-5'>Tcl</b>脚本

    Vivado使用指南

    通过TCL脚本命令来实现,具体的TCL脚本的使用可查阅官方的TCL文档:UG894-vivado-tcl-scripting.pdf;3.2
    发表于 09-06 17:55

    基于 FPGA Vivado 示波器设计(附源工程)

    、书籍、源码、技术文档…(2023.07.09更新) 本篇掌握基于添加文件和IP的Vivado工程设计流程,掌握基于TclVivado工程设计
    发表于 08-17 19:31

    使用vivado的仿真器仿真时,modelsim的transcript界面无法输出C程序的printf语句是为什么?

    我现在将vivado和modelsim做了联合仿真,用来仿真蜂鸟e203协处理器扩展实现的功能。现在的问题是:使用vivado的仿真器仿真时vivado
    发表于 08-11 06:44

    如何实现基于FPGA Vivado的74系列IP封装呢?

    双击桌面图标打开Vivado 2017.2,或者选择开始>所有程序>Xilinx Design Tools> Vivado 2017.2>Vivado 2017.2;
    发表于 07-30 09:39 444次阅读
    如何<b class='flag-5'>实现</b>基于FPGA <b class='flag-5'>Vivado</b>的74系列IP封装呢?

    vivado仿真流程

    vivado开发软件自带了仿真工具,下面将介绍vivado的仿真流程,方便初学者进行仿真实验。
    的头像 发表于 07-18 09:06 2547次阅读
    <b class='flag-5'>vivado</b>仿真<b class='flag-5'>流程</b>

    vivado创建工程流程

    vivado的工程创建流程对于大部分初学者而言比较复杂,下面将通过这篇博客来讲解详细的vivado工程创建流程。帮助自己进行学习回顾,同时希望可以对有需要的初学者产生帮助。
    的头像 发表于 07-12 09:26 1319次阅读
    <b class='flag-5'>vivado</b>创建工程<b class='flag-5'>流程</b>

    TCL 定制 Vivado 设计实现流程

    今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:TCL定制Vivado设计实现流程
    发表于 06-28 19:34

    Vivado实现ECO功能

    关于 TclVivado中的应用文章从 Tcl 的基本语法和在 Vivado 中的 应用展开,继上篇《用 Tcl 定制
    的头像 发表于 05-05 15:34 1797次阅读
    在<b class='flag-5'>Vivado</b>中<b class='flag-5'>实现</b>ECO功能

    TCL定制Vivado设计实现流程

    今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:用TCL定制Vivado设计实现流程
    的头像 发表于 05-05 09:44 728次阅读
    用<b class='flag-5'>TCL</b>定制<b class='flag-5'>Vivado</b>设计<b class='flag-5'>实现</b><b class='flag-5'>流程</b>