电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示
电子发烧友网>电子资料下载>IC资料>IC中文资料>atmega8计数器中文资料pdf

atmega8计数器中文资料pdf

2008-04-07 | rar | 2515 | 次下载 | 免费

资料介绍

ATmega8是基于增强的AVR RISC结构的低功耗8位CMOS微控制器。由于其先进的指令
集以及单时钟周期指令执行时间, ATmega8 的数据吞吐率高达1 MIPS/MHz,从而可以
缓减系统在功耗和处理速度之间的矛盾。AVR 内核具有丰富的指令集和32 个通用工作寄存器。所有的寄存器都直接与算逻单元
(ALU) 相连接,使得一条指令可以在一个时钟周期内同时访问两个独立的寄存器。这种结
构大大提高了代码效率,并且具有比普通的CISC 微控制器最高至10 倍的数据吞吐率。
ATmega8 有如下特点:8K 字节的系统内可编程Flash( 具有同时读写的能力,即RWW),
512 字节 EEPROM,1K 字节 SRAM,32 个通用I/O 口线,32 个通用工作寄存器,三个
具有比较模式的灵活的定时器/ 计数器(T/C), 片内/ 外中断,可编程串行USART,面向
字节的两线串行接口, 10 位6 路 (8 路为TQFP 与MLF 封装)ADC,具有片内振荡器
可编程看门狗定时器,一个SPI 串行端口,以及五种可以通过软件进行选择的省电模式。
工作于空闲模式时CPU 停止工作,而SRAM、T/C、 SPI 端口以及中断系统继续工作;
掉电模式时晶体振荡器停止振荡,所有功能除了中断和硬件复位之外都停止工作;在省
电模式下,异步定时器继续运行,允许用户保持一个时间基准,而其余功能模块处于休眠
状态; ADC 噪声抑制模式时终止CPU 和除了异步定时器与ADC 以外所有I/O 模块的工
作,以降低ADC 转换时的开关噪声; Standby 模式下只有晶体或谐振振荡器运行,其余
功能模块处于休眠状态,使得器件只消耗极少的电流,同时具有快速启动能力。
芯片是以Atmel 高密度非易失性存储器技术生产的。片内ISP Flash 允许程序存储器通
过ISP 串行接口,或者通用编程器进行编程,也可以通过运行于AVR 内核之中的引导程
序进行编程。引导程序可以使用任意接口将应用程序下载到应用Flash存储区(Application
Flash Memory)。在更新应用Flash存储区时引导Flash区(Boot Flash Memory)的程序继续
运行,实现了RWW 操作。 通过将8 位RISC CPU 与系统内可编程的Flash 集成在一个
芯片内,ATmega8 成为一个功能强大的单片机,为许多嵌入式控制应用提供了灵活而低
成本的解决方案。
ATmega8 具有一整套的编程与系统开发工具,包括:C 语言编译器、宏汇编、 程序调试
器/ 软件仿真器仿真器及评估板。

产品特性
• 高性能、低功耗的 8 位AVR® 微处理器
• 先进的RISC 结构
– 130 条指令 – 大多数指令执行时间为单个时钟周期
– 32个8 位通用工作寄存器
– 全静态工作
– 工作于16 MHz 时性能高达16 MIPS
– 只需两个时钟周期的硬件乘法器
• 非易失性程序和数据存储器
– 8K 字节的系统内可编程Flash
擦写寿命: 10,000 次
– 具有独立锁定位的可选Boot 代码区
通过片上Boot 程序实现系统内编程
真正的同时读写操作
– 512 字节的EEPROM
擦写寿命: 100,000 次
– 1K字节的片内SRAM
– 可以对锁定位进行编程以实现用户程序的加密
• 外设特点
– 两个具有独立预分频器8 位定时器/ 计数器, 其中之一有比较功能
– 一个具有预分频器、比较功能和捕捉功能的16 位定时器/ 计数器
– 具有独立振荡器的实时计数器RTC
– 三通道PWM
– TQFP与MLF 封装的8 路ADC
8 路10 位ADC
– PDIP封装的6 路ADC
8 路10 位ADC
– 面向字节的两线接口
– 两个可编程的串行USART
– 可工作于主机/ 从机模式的SPI 串行接口
– 具有独立片内振荡器的可编程看门狗定时器
– 片内模拟比较器
• 特殊的处理器特点
– 上电复位以及可编程的掉电检测
– 片内经过标定的RC 振荡器
– 片内/ 片外中断源
– 5种睡眠模式: 空闲模式、ADC 噪声抑制模式、省电模式、掉电模式及Standby 模式
• I/O 和封装
– 23个可编程的I/O 口
– 28引脚PDIP 封装,32 引脚TQFP 封装,32 引脚MLF 封装
• 工作电压
– 2.7 - 5.5V (ATmega8L)
– 4.5 - 5.5V (ATmega8)
• 速度等级
– 0 - 8 MHz (ATmega8L)
– 0 - 16 MHz (ATmega8)
• 4 Mhz 时功耗, 3V, 25°C
– 工作模式: 3.6 mA
– 空闲模式: 1.0 mA
– 掉电模式: 0.5 μA

下载该资料的人也在下载 下载该资料的人还在阅读
更多 >

评论

查看更多

下载排行

本周

  1. 1TC358743XBG评估板参考手册
  2. 1.36 MB  |  330次下载  |  免费
  3. 2开关电源基础知识
  4. 5.73 MB  |  11次下载  |  免费
  5. 3嵌入式linux-聊天程序设计
  6. 0.60 MB  |  3次下载  |  免费
  7. 4DIY动手组装LED电子显示屏
  8. 0.98 MB  |  3次下载  |  免费
  9. 5基于FPGA的C8051F单片机开发板设计
  10. 0.70 MB  |  2次下载  |  免费
  11. 651单片机窗帘控制器仿真程序
  12. 1.93 MB  |  2次下载  |  免费
  13. 751单片机PM2.5检测系统程序
  14. 0.83 MB  |  2次下载  |  免费
  15. 8基于51单片机的RGB调色灯程序仿真
  16. 0.86 MB  |  2次下载  |  免费

本月

  1. 1OrCAD10.5下载OrCAD10.5中文版软件
  2. 0.00 MB  |  234315次下载  |  免费
  3. 2555集成电路应用800例(新编版)
  4. 0.00 MB  |  33566次下载  |  免费
  5. 3接口电路图大全
  6. 未知  |  30323次下载  |  免费
  7. 4开关电源设计实例指南
  8. 未知  |  21549次下载  |  免费
  9. 5电气工程师手册免费下载(新编第二版pdf电子书)
  10. 0.00 MB  |  15349次下载  |  免费
  11. 6数字电路基础pdf(下载)
  12. 未知  |  13750次下载  |  免费
  13. 7电子制作实例集锦 下载
  14. 未知  |  8113次下载  |  免费
  15. 8《LED驱动电路设计》 温德尔著
  16. 0.00 MB  |  6656次下载  |  免费

总榜

  1. 1matlab软件下载入口
  2. 未知  |  935054次下载  |  免费
  3. 2protel99se软件下载(可英文版转中文版)
  4. 78.1 MB  |  537798次下载  |  免费
  5. 3MATLAB 7.1 下载 (含软件介绍)
  6. 未知  |  420027次下载  |  免费
  7. 4OrCAD10.5下载OrCAD10.5中文版软件
  8. 0.00 MB  |  234315次下载  |  免费
  9. 5Altium DXP2002下载入口
  10. 未知  |  233046次下载  |  免费
  11. 6电路仿真软件multisim 10.0免费下载
  12. 340992  |  191186次下载  |  免费
  13. 7十天学会AVR单片机与C语言视频教程 下载
  14. 158M  |  183279次下载  |  免费
  15. 8proe5.0野火版下载(中文版免费下载)
  16. 未知  |  138040次下载  |  免费