电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示
电子发烧友网>电子资料下载>可编程逻辑>FPGA/ASIC>从零开始学CPLD和Verilog HDL编程技术

从零开始学CPLD和Verilog HDL编程技术

2010-03-13 | rar | 34816 | 次下载 | 免费

资料介绍

从零开始学CPLDVerilog HDL编程技术:CPLD(复杂可编程逻辑器件)在数字电子技术领域中的应用越来越广泛,尤其适合于新产品的开发与小批量生产,因此深受广大工程技术人员喜爱。 《从零开始学CPLD和Verilog HDL编程技术(含光盘)》定位于让初学者从零起步,轻松学会CPLD的系统设计技术。《从零开始学CPLD和Verilog HDL编程技术(含光盘)》以ALTERA公司的系列芯片为目标载体,简要分析了可编程逻辑器件的结构和特点,以及相应开发软件的使用方法,同时,还用大量篇幅介绍了初学者最容易掌握的Verilog HDL硬件描述语言。《从零开始学CPLD和Verilog HDL编程技术(含光盘)》完全以实战为主,通过实践的方法帮助读者加深理解CPLD的基本知识。《从零开始学CPLD和Verilog HDL编程技术(含光盘)》附赠光盘一张,光盘中包含了书中所有实验的源程序。《从零开始学CPLD和Verilog HDL编程技术(含光盘)》可供从事各类电子系统设计的广大工程技术人员以及电子爱好者阅读,也可作为电子类专业的教材或教学参考书使用。
从零开始学CPLD和Verilog HDL编程技术(含光盘) 目录
 
第一章 CPLD与FPGA概述
第一节 可编程逻辑器件的发展及特点
一、可编程逻辑器件的发展
二、CPLD/FGPA的用途
三、CPLD/FPGA的特点
四、CPLD与FPGA的比较
五、CPLD/FPGA和单片机的比较
第二节 CPLD/FPGA的基本工作原理
一、基于乘积项的CPLD的工作原理
二、采用查找表的FPGA的工作原理
第三节 Altera系列CPLD介绍
一、MAX7000系列器件简介
二、MAX7000系列器件的结构
三、MAX7000系列器件功能描述
第四节 Xilinx系列CPLD介绍
一、XC9500系列器件简介
二、XC9500系列器件的结构
三、XC9500系列器件功能描述
第五节 可编程逻辑器件的开发
一、可编程逻辑器件的设计过程
二、可编程逻辑器件设计举例
第二章 CPLD实验仪介绍
第一节 DP-MCU/Altera实验仪
一、实验仪主要器件
二、应用接口
三、跳线接口
四、原理简介
第二节 DP—MCU/Xilinx实验仪
一、实验仪主要器件
二、应用接口
三、跳线接口
四、原理简介
第三节 其他CPLD实验仪
一、CPLDMCU下载仿真实验仪
二、Altera CPLD开发板
三、5l+CPLD学习板
第三章 CPLD开发软件和仿真软件的使用
第一节 Altera开发软件MAX+plusII的安装和使用
一、MAX+plusII的安装
二、MAX+plusII的使用
第二节 Xmnx开发软件ISE WebPACK的安装和使用
一、WebPACK软件的安装
二、WebPACK软件的使用
第三节 仿真Modelsim SE软件的安装和使用
一、Modelsim SE 6.0软件的安装
二、Modelsim SE 6.0软件的使用
第四章 初识Verilog HDL
第一节 硬件描述语言概述
一、什么是硬件描述语言
二、硬件描述语言的发展
三、为何使用硬件描述语言
第二节 Verilog HDL基本知识
一、什么是Verilog HDL
二、Verilog HDL的发展
三、Verilog HDL与VHDL比较
四、Verilog HDL与C语言的比较
第三节 Verilog HDL模块介绍
一、什么是模块
二、模块的结构
第五章 Verilog HDL数据类型与运算符
第一节 Verilog HDL基本词法
一、标识符
二、关键字
三、注释
四、空白符
第二节 Verilog HDL常量变量及其数据类型
一、常量及其数据类型
二、变量及其数据类型
第三节 Verilog HDL运算符
一、算术运算符
二、逻辑运算符
三、位运算符
四、关系运算符
五、等式运算符
六、缩位运算符
七、移位运算符
八、条件运算符
九、位拼接运算符
第六章 Verilog HDL基本语句
第一节 赋值语句
一、持续赋值语句
二、过程赋值语句
第二节 块语句
一、串行块语句beginIend
二、并行块语句fork-join
第三节 过程语句
一、initial过程语句
二、always过程语句
第四节 条件语句
一、if条件语句
二、case条件语句
第五节 循环语句
一、forever语句
二、repeat语句
三、while语句
四、for语句
第六节 编译向导语句
一、宏替换define
二、文件包舍include
三、条件编译ifdef、else、endif
四、时间尺度timescale
第七节 任务(task)和函数(function)说明语句
一、任务(task)说明语句
二、函数(function)说明语句
第八节 系统任务与系统函数
一、$display和$write任务
二、$monitor与$strobe
三、$time与$realtime
四、$finish与$stop
第七章 Verilog HDL的描述方式
第一节 结构描述方式
一、Verilog HDL内置门元件
二、门级结构描述
第二节 数据流描述方式
第三节 行为描述方式
第八章 用Verilog HDL描述数字电路
第一节 基本门电路的设计
一、与门
二、或门
三、非门
四、与非门
五、或非门
六、异或门
七、缓冲门
八、三态门
第二节 组合逻辑电路的设计
一、数据选择器
二、编码器
三、译码器
四、加法器
第三节 双稳态触发器的设计
一、RS触发器
二、D触发器
三、JK触发器
四、T触发器
第四节 时序逻辑电路的设计
一、寄存器
二、锁存器
三、计数器
第九章 CPLD实验与综合设计实例
第一节 CPLD基本实验
一、LED发光二极管实验
二、键盘实验
三、数码LED显示器实验
四、音响实验
第二节 CPLD综合设计实例
一、乐曲演奏电路
二、数字钟
三、频率计
四、交通灯

下载该资料的人也在下载 下载该资料的人还在阅读
更多 >

评论

查看更多

下载排行

本周

  1. 1电子电路原理第七版PDF电子教材免费下载
  2. 0.00 MB  |  1491次下载  |  免费
  3. 2单片机典型实例介绍
  4. 18.19 MB  |  95次下载  |  1 积分
  5. 3S7-200PLC编程实例详细资料
  6. 1.17 MB  |  27次下载  |  1 积分
  7. 4笔记本电脑主板的元件识别和讲解说明
  8. 4.28 MB  |  18次下载  |  4 积分
  9. 5开关电源原理及各功能电路详解
  10. 0.38 MB  |  11次下载  |  免费
  11. 6100W短波放大电路图
  12. 0.05 MB  |  4次下载  |  3 积分
  13. 7基于单片机和 SG3525的程控开关电源设计
  14. 0.23 MB  |  4次下载  |  免费
  15. 8基于AT89C2051/4051单片机编程器的实验
  16. 0.11 MB  |  4次下载  |  免费

本月

  1. 1OrCAD10.5下载OrCAD10.5中文版软件
  2. 0.00 MB  |  234313次下载  |  免费
  3. 2PADS 9.0 2009最新版 -下载
  4. 0.00 MB  |  66304次下载  |  免费
  5. 3protel99下载protel99软件下载(中文版)
  6. 0.00 MB  |  51209次下载  |  免费
  7. 4LabView 8.0 专业版下载 (3CD完整版)
  8. 0.00 MB  |  51043次下载  |  免费
  9. 5555集成电路应用800例(新编版)
  10. 0.00 MB  |  33562次下载  |  免费
  11. 6接口电路图大全
  12. 未知  |  30320次下载  |  免费
  13. 7Multisim 10下载Multisim 10 中文版
  14. 0.00 MB  |  28588次下载  |  免费
  15. 8开关电源设计实例指南
  16. 未知  |  21539次下载  |  免费

总榜

  1. 1matlab软件下载入口
  2. 未知  |  935053次下载  |  免费
  3. 2protel99se软件下载(可英文版转中文版)
  4. 78.1 MB  |  537793次下载  |  免费
  5. 3MATLAB 7.1 下载 (含软件介绍)
  6. 未知  |  420026次下载  |  免费
  7. 4OrCAD10.5下载OrCAD10.5中文版软件
  8. 0.00 MB  |  234313次下载  |  免费
  9. 5Altium DXP2002下载入口
  10. 未知  |  233046次下载  |  免费
  11. 6电路仿真软件multisim 10.0免费下载
  12. 340992  |  191183次下载  |  免费
  13. 7十天学会AVR单片机与C语言视频教程 下载
  14. 158M  |  183277次下载  |  免费
  15. 8proe5.0野火版下载(中文版免费下载)
  16. 未知  |  138039次下载  |  免费