电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>今日头条>手把手教你学LabVIEW视觉设计

手把手教你学LabVIEW视觉设计

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

无刷电机无感FOC控制培训系列课程

| 本工作室推出电机控制无感foc电机控制系列培训课程本课程主要让想进阶的算法工程师,和刚参加工作的工程师或者在校学生能够进一步提高自己的技能,1.从企业用人角度手把手教你做电机控制,提高你的个人
2024-03-10 13:52:40

涂鸦手把手教你DIY超炫酷的龙年烟花!效果超丰富、可玩度更强

千百年来,烟花爆竹被看作是中国人春节的底色,绚烂弥漫的烟花,搭配噼里啪啦的爆竹声,人们在年味渐浓中享受团聚的欢乐。而近期烟花大师蔡国强的新作品--《海市蜃楼》,也让放烟花一时成为爆款视频的“流量密码”。但出于环境保护的目的,很多城市主要区域依然不能享受在家放烟花的趣味,有人甚至为了跑到允许放烟花的区域,连夜“奔袭”100多公里。为了让大家在家也能感受有烟花的
2024-02-19 12:59:26186

【先楫HPM5361EVK开发板试用体验】(原创)6.手把手实战红外线传感器源代码

试用体验】2手把手实战密钥管理器 KEYM 【先楫HPM5361EVK开发板试用体验】3手把手实战安全数据处理器 SDP 【先楫HPM5361EVK开发板试用体验】4手把手实战EXIP在线解密引擎 【先
2024-02-09 15:08:26

【先楫HPM5361EVK开发板试用体验】(原创)5.手把手实战AI机械臂

HPMicro 【先楫HPM5361EVK开发板试用体验】2手把手实战密钥管理器 KEYM 【先楫HPM5361EVK开发板试用体验】3手把手实战安全数据处理器 SDP 【先楫HPM5361EVK开发板
2024-02-06 10:28:43

【飞腾派4G版免费试用】4.手把手玩转QT界面设计

完成了使用Qt Designer进行界面设计的全部流程!是不是觉得像魔法一样神奇呢?赶紧试试吧! 接上三篇: 【飞腾派4G版免费试用】1.实战交叉编译环境搭建和手把手uboot编译 【飞腾派4G版免费
2024-01-27 12:49:23

【先楫HPM5361EVK开发板试用体验】4手把手实战EXIP在线解密引擎

接上三篇: 【先楫HPM5361EVK开发板试用体验】1上手HPM5361 - 先楫半导体HPMicro 【先楫HPM5361EVK开发板试用体验】2手把手实战密钥管理器 KEYM 【先楫
2024-01-26 11:08:30

使用DPort-ECT搭建EtherCAT从站step by step

为了让客户更好地使用ZLG致远电子的集成式EtherCAT从站模块DPort-ECT,本文手把手教你如何使用DPort-ECT模块和EPC6450-DP评估板快速搭建一个的EtherCAT从站。设备
2024-01-26 08:25:36130

【飞腾派4G版免费试用】3.手把手玩转制作rootfs根文件系统

接上两篇:【飞腾派4G版免费试用】1.实战交叉编译环境搭建和手把手uboot编译 【飞腾派4G版免费试用】2.手把手实战编译Linux内核 嗨,亲爱的工程师、学生和爱好者们,我来啦!今天我要带
2024-01-09 10:49:37

【米尔-TIAM62开发板-接替335x-试用评测】+(五)手把手玩转U-Boot控制CPU管脚

接上四篇: 【米尔-TIAM62开发板-接替335x-试用评测】+(一)手把手配置Yocto 【米尔-TIAM62开发板-接替335x-试用评测】+(二)配置U-Boot步骤实战 【米尔
2024-01-08 10:02:15

labview视觉开发模块认识及应用

LabVIEW视觉开发模块是NI公司推出的一款功能强大的图形化编程软件,专门用于图像处理和视觉应用开发。它提供了丰富的视觉函数和工具,能够实现图像采集、处理、分析和测量,支持多种视觉算法和技术
2023-12-28 11:00:26387

手把手教你制作DAPLink

这篇文章主要描述利用RT-THREAD+CherryUSB制作DapLink调试器(R_DapLink)全流程。这里先感谢网友:sakumisu提供cherryUSB协议栈的技术支持。 什么是下载调试器简单来说,下载调试器是将PC(例如通过USB协议)发送的命令转换为MCU(负责MCU内部外围设备)理解的语言(例如SWD或JTAG协议)的设备,加载代码并精确控制执行。 什么是标准简单来说,标准是一组规则和协议,特定行业中的每个参与者都同意遵循并执行。符合某种内核的单片机Q,都可以使用这种协议来下载程
2023-12-26 08:35:51521

PCB上怎么画GND?手把手教你画“GND”

不要跨步“GND” 还有一些受制于结构导致的,某一个模块本应完整的GND,被其他走线分割成多个区域的跨步GND。例如下图的PCB电路所示,电源输入的负极接上PCB板后直接变成“GND”也就是①位置,往电源模块过去的方向上,①与②之间被信号线隔断
2023-12-22 17:38:39687

【飞腾派4G版免费试用】2.手把手实战编译Linux内核

亲爱的工程师、学生和爱好者们,我来啦!非常感谢上一篇文章【飞腾派4G版免费试用】1.实战交叉编译环境搭建和手把手uboot编译下方的评论区网友:Jonny的赞赏和表扬,特此为热心网友再创作一篇,作为
2023-12-16 23:28:20

最新ChatGPT详细注册图文解说教程 ChatGPT账号注册详细步骤分析

2024年注册ChatGPT详细教程,手把手教你完成ChatGPT的注册
2023-12-04 17:18:381982

手把手教你通过CODESYS V3进行PLC编程(三)

宏集MC系列是基于树莓派的高性能4核控制器;通过之前的教程,我们已经为大家演示了宏集MC-Prime的连接、试运行和CODESYS安装,并创建了一个计数器项目;本期教程将进一步教大家如何实现CODESYS的可视化
2023-11-30 14:02:16330

手把手教您使用盐水喷雾试验机

盐水喷雾试验机怎么使用?广东艾思荔告诉您,盐水喷雾试验机使用时要注意的事项很多,操作人员在使用时切不可疏漏。使用前应充分做好准备:纯净水应提前加到饱和桶.盐水应提前配制好.箱体与箱盖应提前水密封.电应提前到位。广东艾思荔售后技术还可提供免费教机服务,让您操作维护无后顾之忧。1.把试验箱接上电源,操作面板上有三个指示灯亮,这三个指示灯,都是水位指示灯,分别是两
2023-11-29 11:05:09275

手把手教你实现一个Java Agent(JVM启动时的处理流程)

Arthas命令很多,如果是exit、logout、quit、jobs、fg、bg、kill等简单的命令,就会直接执行,如果是trace这种复杂的命令,会专门用一个类写处理的逻辑,如上图,根据名字就可以猜到这个类是处理什么命令的,这么多类的组织形式是模版模式,入口在com.taobao.arthas.core.shell.command.AnnotatedCommand#process,
2023-11-27 10:53:58557

手把手教你通过CODESYS V3进行PLC编程(一)

宏集MC系列模块化控制器是基于Raspberry Pi的高性能4核控制器,本系列教程将以宏集MC-Prime为例,详细演示通过CODESYS V3进行控制器测试编程的步骤,引导您轻松玩转宏集MC系列控制器✌
2023-11-21 15:13:41324

明德扬K7核心板试用体验-第一篇

了解这个领域,自己还挺感兴趣的,于是私下自学FPGA,今年也算是我正式踏入FPGA行业的起点。 最开始学习FPGA的时候我买过潘老师的《手把手教你学FPGA设计》,对于FPGA新人的我有了很多启发,其中的计数器、状态机、FIFO、模块划分方法在工程中都非常实用
2023-11-21 11:05:35238

《电子工程师必备——九大系统电路识图宝典》+附录2化整为零和集零为整电路分析方法

学习方法 《运算放大器参数解析与LTspice应用仿真》+学习心得3第二章之电气参数 本人在本论坛内的帖子: 【米尔-TIAM62开发板-接替335x-试用评测】+(一)手把手配置Yocto 【米尔
2023-11-18 21:06:29

LVGL案例分享--手把手教你移植到T113-i国产工业开发板

市面上有许多嵌入式GUI库可供选择,包括开源GUI库和闭源GUI库,开源GUI库:LVGL,EmWin等;闭源GUI库:TouchGFX,柿饼GUI等。 本篇文章主要描述如何将LVGL8.1移植到创龙科技的T113-i的开发板上。 LVGL LVGL,全名“Littlev Graphics Library”,是一个开源的图形库,主要用于在嵌入式系统上创建图形用户界面(GUI)。它是用C语言编写的,旨在高效和可定制,因此在各种微控制器平台和显示硬件上开发用户界面时备受欢迎。 LVGL的特性 轻量级:LVGL旨在轻量且高效,适用于资源受限的嵌入式系统,这些系统具有有限的内存和处理能力。 高度可定制:LVGL允许开发人员根据项目要求定制GUI元素的外观和行为。 小部件库:它提供了各种预设计的图形小部件,如按钮、标签、滑块、列表等,以简化交互式用户界面的创建。 事件驱动:LVGL是事件驱动的,这意味着它会响应用户输入和其他事件以触发操作或状态更改。 硬件支持:LVGL支持各种显示和输入硬件,包括不同类型的显示器(TFT、OLED等)和输入设备(触摸屏、按钮等)。 积极的社区:LVGL有一个积极而支持性的社区,为其开发提供了资源并提供开发人员帮助。 跨平台:LVGL可以在各种微控制器平台上使用,适用于各种嵌入式系统。 LVGL的开源链接:https://github.com/lvgl LVGL移植 下载源码仓库 lvgl代码下载链接:https://github.com/lvgl/lvgl/archive/refs/tags/v8.1.0.tar.gz lv_demos代码下载链接:https://github.com/lvgl/lv_demos/archive/refs/tags/v8.1.0.tar.gz lv_drivers代码卸载链接:https://github.com/lvgl/lv_drivers/archive/refs/tags/v8.1.0.tar.gz lv_port_linux_frame_buffer代码卸载链接:https://github.com/lvgl/lv_port_linux_frame_buffer.git,通过git clone下载,然后切换到v8.2分支 将所有代码写在下来之后,代码目录如下: 源码文件移植 创建目录:lvgl,用于存放我们工程源码 将lvgl源码复制进lvgl目录下 将lv_drivers源码复制进lvgl目录下 将lv_demos源码复制进lvgl目录下 从lvgl中复制lv_conf_template.h到lvgl,并重命名为lv_conf.h 从lv_drivers中复制lv_drv_conf_template.h到lvgl,并重命名为lv_drv_conf.h 从lv_demo中复制lv_demo_conf_template.h到lvgl,并重命名为lv_demo_conf.h 从lv_port_linux_frame_buffer中复制main.c和Makefile到lvgl下 将文件移植完之后,代码目录如下: 修改配置文件 修改lv_conf.h,此文件为lvgl的配置文件 使能lv_conf.h头文件,将#if 0修改为#if 1 根据驱动(可在设备树中查看)选择像素点对应像素格式的大小,否则显示可能乱码 修改显存大小 设置Tick定时器配置函数 查看log,使能LV_USE_LOG,设置打印的log等级并选择打印Log的接口 测试的样例中用到不同字号的字体,需要使能用到的字体 修改lv_drv_conf.h,此文件为驱动配置文件 使能修改lv_drv_conf.h头文件,将#if 0修改为#if 1 使能LCD显示:使能USE_FBDEV,路径设置成/dev/fb0,根据板子LCD的实际情况设置 使能鼠标或者触摸屏 修改lv_demo_conf.h,此文件为测试用例配置文件 使能修改lv_demo_conf.h头文件,将#if 0修改为#if 1 使能LV_USE_DEMO_WIDGETS测试用例 修改main.c文件,此文件为lvgl程序的主程序 修改lvgl的demos头文件 修改lvgl显示的分比率,我是采用HDMI屏幕,分辨率为:1920 * 1080 修改鼠标的配置,我的鼠标的设备节点为:/dev/input/event5 修改Makefile文件,此文件为构建工程脚本 修改构建工具链路径,需要选择T113-i的交叉工具链,工具链路径为:xxx/T113-i_v1.0/out/gcc-linaro-5.3.1-2016.05-x86_64_arm-linux-gnueabi/bin/arm-linux-gnueabi-gcc 由于工具链不支持编译选项-Wshift-negative-value,直接伤处对应编译选项。 因为没有鼠标的图标文件,所以把鼠标图标的配置注释掉 增加测试样例的编译,包含其构建脚本 LVGL编译验证 编译,在lvgl工程目录下进行构建,执行make命令 编译完之后,会在当前目录下生成demo可执行文件 验证,我们将可执行文件demo拷贝到板子上 在板子运行LVGL实例 运行结果: 总结 lvgl在Linux上的移植是比较简单快捷的;只需要修改几个配置文件,即可在目标上运行,对我们部署UI非常方便。 lvgl在T113-i上运行整体效果还可以,流畅度整体满意,适合做一些项目的落地。 lvgl的是跨平台的,所以写一个应用可以多端部署,避免了重复开发的过程。
2023-11-17 09:55:42

手把手教你通过CODESYS V3进行PLC编程(二)

在上一期教程中,我们已经完成了控制器设备的连接和配置。接下来的教程将继续以HK-MC-Prime为例,假设控制器已经配置并连接到开发者的PC上,为您演示如何为控制器安装合适的CODESYS V3版本并创建第一个程序。
2023-11-09 10:06:42342

ESP32+TFT 手把手教你学会黑客帝国的数字特效

嗨,各位小伙伴!今天,我们将带你探索如何在ESP32开发板上制作炫酷的特效,别担心,不需要编程基础。我们将一步步学习如何使用TFT屏幕以及ESPI库。跟着我,轻松入门,一起来搞点有趣的事情吧! 小贴士:伊娃老师的线上工作坊开课啦,要报名的快点看文章最后面!等你报名哟! 完整代码   #include TFT_eSPI tft; //创建对象uint16_t evacolor = tft.color565(0, 0, 0); //rgb/*int dropY = 0; //文字的Yint dropX = random(0, tft.width()); //文字的X ,random(最小值,最大值),从最小值跟
2023-11-09 08:43:59374

虹科干货 | 旧电脑别急着扔,手把手教你搭建NAS系统存储照片

一、前期准备 我们的目的是让设备物尽其用,将旧电脑做成NAS存储系统后可以使用新电脑进行访问(Windows / Linux / IOS系统都可以访问)。在开始之前先来看看安装成功效果图吧! 1.设备准备 (1)一台旧电脑:我们的工程师使用的是戴尔游匣G3 3579(win11系统 + 16G内存(8G是自己加的)+4核CPU+128G NVMe固态硬盘+1T机械硬盘) (2)一根网线:连接到旧电脑上,为旧电脑提供网络。 ps.也可以使用wifi,但需要保证路由器开启dhcp自动分配IP地址的功能,不清楚的话
2023-11-08 09:24:14642

虹科干货|手把手教你通过CODESYS V3进行PLC编程(一)

虹科MC系列模块化控制器是基于Raspberry Pi的高性能4核控制器,运动控制循环时间最快可达500微秒,实现了计算能力和成本之间的最佳平衡,适用于多轴运动控制和CNC控制。
2023-11-06 10:29:001030

旧电脑别急着扔,手把手教你搭建NAS系统存储照片

正在看这篇文章的您如果家里有淘汰的旧电脑,先别着急回收只要还有硬盘在,本文免费教您利用TrueNAS搭建NAS存储系统实现海量数据免费存储与轻松访问1前期准备我们的目的是让设备物尽其用,将旧电脑做成NAS存储系统后可以使用新电脑进行访问(Windows/Linux/IOS系统都可以访问)。在开始之前先来看看安装成功效果图吧!设备准备(1)一台旧电脑:我们的工
2023-11-02 08:09:031803

手把手带您使用MCUXpresso Config Tools生成USB组合设备

手把手带您使用MCUXpresso Config Tools生成USB组合设备
2023-10-31 16:55:26633

手把手教你Wireshark使用教程

Wireshark使用的环境大致分为两种,一种是电脑直连网络的单机环境,另外一种就是应用比较多的网络环境,即连接交换机的情况。
2023-10-30 16:40:20594

LOTO示波器_从零开始手把手测电源开环增益/电源环路频响曲线/PSM

我们之前有篇文章从理论到实践演示了如何测量电源环路的开环增益曲线,不过偏重于理论和原理,没有很多细节的展现,所以这片文章从另外的角度,从零基础开始,手把手一步一步演示如果进行实操测试。
2023-10-26 16:08:55388

示波器_从零开始手把手测电源开环增益/电源环路频响曲线/PSM

示波器_ 从零开始手把手测电源开环增益/电源环路频响 曲线/ PSM 我们之前有篇文章从理论到实践演示了如何测量电源环路的开环增益曲线,不过偏重于理论和原理,没有很多细节的展现,所以这片文章从另外
2023-10-26 15:54:40

英特尔与百度携手向前,面向生成式人工智能的星辰大海

在10月17日举行的百度世界2023上,李彦宏以《手把手教你做AI原生应用》为主题发表演讲,发布文心大模型4.0版本,并带来新搜索、新地图等十余款AI原生应用。在李彦宏看来,AI原生应用的诞生
2023-10-21 16:40:03274

Python 如何获取旅游景点信息

今天将手把手教你使用线程池爬取同程旅行的景点信息及评论数据并做词云、数据可视化!!!带你了解各个城市的游玩景点信息。 在开始爬取数据之前,我们首先来了解一下线程。 线程 进程 :进程是代码在数据集合
2023-10-21 11:10:28274

手把手教你学FPGA仿真

电子发烧友网站提供《手把手教你学FPGA仿真.pdf》资料免费下载
2023-10-19 09:17:361

【直播预告】LabVIEW机械手视觉纠偏关键技术探讨

视觉软件著作权;编写了《Labview视觉算子详解》一书;开发了《labview机器视觉实用教程》全套2000分钟视频教程,《龙哥手把手教你视觉-视觉篇》,《龙哥手把手教你视觉-运动篇》,《龙哥
2023-10-17 15:37:40

手把手教你FPGA仿真篇

大型项目中有专门的验证同事,这种专业的验证有专门的验证方法学,目前SystermVerilog 越来越成为主流的验证语言。本文不涉及 SystermVerilog 这种专业的验证语言,本人目的是为了让大家能够搭建简单的 UT 单元测试环境。Verilog 也有适用于仿真的代码,这些代码是不可以综合的。可以使用Verilog 来搭建基本的单元测试环境。本问介绍了如何编写测试验证程序(test bench)。测试验证程序用于测试和验证设计的正确性。Verilog HDL 提供强有力的结构来说明测试验证程序。
2023-10-13 08:11:45

LabVIEW的汽车门把手传感器测试系统原理

电子发烧友网站提供《LabVIEW的汽车门把手传感器测试系统原理.pdf》资料免费下载
2023-10-08 11:16:510

FPGA 求助 200人民币1小时,按时付费

。需要牛人协助 把ARM M0 的 Verilog Code 建立FPGA project 一步一步,最后烧录到FPGA 里面验证 ARM M0 需要有5年以上FPGA经验的牛人 手把手教一下。 报酬是每小时200人民币, 按实际花费的时间付费 有意向者,请联系WX dustofsun 谢谢
2023-10-06 10:35:07

手把手教你基于RT-Thread Studio使用STM32单片机的PWM外设

目前我使用的是STM32L475系列单片机,是ali当时在PDD 50块钱卖的一个开发板,其他STM32单片机参考即可,这里会手把手教大家基于RTT Studio 来使用PWM。
2023-09-28 15:12:412285

手把手教你制作无线充电器

手把手教你制作无线充电器(无线充电原理+产品应用+DIY实例)
2023-09-28 07:48:01

用51单片机DIY音乐频谱显示

该资源是手把手教你用51单片机DIY音乐频谱显示
2023-09-27 07:42:25

STM32Cube学习笔记

STM32Cube学习笔记,一步一步手把手带你进入STM32Cube的世界,包括点灯,按键,串口,ADC,DAC等等一共16篇。
2023-09-20 06:49:48

手把手教你pcb压合的整个流程,小白也能玩转电路板制作

手把手教你pcb压合的整个流程,小白也能玩转电路板制作
2023-09-18 10:43:221335

NFC电路匹配实例 NFC电路原理图和PCB设计

很多朋友后台私信我,要有一个手把手的实例演示就好了,刚好一个智能锁的项目,刷卡距离只有3mm左右,需优化刷卡距离.这次调试记录了调试过程的所思所想,欢迎批评指证.
2023-09-14 16:00:273366

手把手教你制作无线充电器(无线充电原理+产品应用+DIY实例)

2023-08-29 14:49:271

手把手教你图形化玩转STM32智能硬件开发pdf

2023-08-29 14:49:230

手把手教你动态编辑Xilinx FPGA内LUT内容

在7系列FPGA中,将近2/3的SLICE是SLICEL,其余的是SLICEM[1],也就是说,FPGA内2/3的资源在bitstream文件下载后,其逻辑功能就无法更改了,除非修改代码并生成新的bitstream文件。
2023-08-26 14:18:371039

手把手教你OTA升级开发

简介 升级包安装组件运行在updater分区,其功能主要包括读取misc分区信息获取升级包状态,对升级包进行校验,确保升级包合法有效;然后从升级包中解析出升级的可执行程序,创建子进程并启动升级程序。具体升级的动作由升级脚本控制。本文将介绍如何针对OpenHarmony L2场景适配updater模式。主要内容包括: *1.OTA升级实现原理 2.OTA升级适配 3.升级包制作工具 4.升级包制作流程 5.OTA升级UX界面适配 6.示例服务器开发概述*前置条件 参考OpenHarmony官方指导,完成正常系统编译和内核启动,能进入正常模式,且运行正常。 芯片需配置包含updater分区和misc分区的分区表。updater分区大小不小于32M。 **1、OTA升级实现原理 1.1 OTA实现主要流程:**1.2 升级服务组件 升级服务组件是一个SA(System Ability), 由OHOS 的init 进程负责启动。 升级服务器引擎主要功能包括: 1、查找可用的升级包 2、下载升级包 3、设置/获取升级策略 4、触发升级 代码目录 base/update/updateservice# 升级服务代码仓目录 ├── interfaces # 升级客户端接口目录 │├── kits# 对外接口封装目录 ││└── js # 提供给升级客户端应用的JS 接口目录 │└── inner_api # SA 接口定义和封装目录 ├── frameworks # 部件无独立进程的实现 │└── js# JS API的实现 │ └── napi# napi代码实现 │└── client# 升级客户端napi 接口目录 ├── services# 独立进程的实现 │├── callback# 提供给升级客户端应用的callback接口目录 │└── engine # 升级客户端引擎服务目录 │ ├── etc# 升级客户端引擎rc配置文件目录 │ ├── include# 升级客户端引擎头文件目录 │ ├── sa_profile# SA 配置文件目录 │ └── src# 升级客户端引擎源码目录 ├── test # 测试代码目录 │├── unittest# 升级客户端UT代码目录 │└── fuzztest# 升级客户端FT代码目录 ├── BUILD.gn# 编译入口 └── bundle.json# 部件描述文件 JS接口说明 接口 说明 checkNewVersion 检查是否有可用的升级包版本 download() 下载升级包 upgrade() 将升级命令写入到misc分区,最终调用reboot命令,进入到updater 子系统中。 getNewVersionInfo() 升级完成后,获取升级后的版本信息 setUpgradePolicy 设置升级策略 getUpgradePolicy 获取升级策略 使用说明 1,导入updateclient lib import client from \'libupdateclient.z.so\' 2,获取update对象 let updater = client.getUpdater(\'OTA\'); 3,获取新版本信息 updater.getNewVersionInfo(info => { info \"新版本信息\" }); 4,检查新版本 updater.checkNewVersion(info => { info \"新版本信息\" }); 5,下载新版本,并监听下载进程 updater.download(); updater.on(\"downloadProgress\", progress => { progress \"下载进度信息\" }); 6,启动升级 ------------------------------欲知详情,请移步LAVAL社区OTA升级开发指导----------------------------
2023-08-22 09:13:18

十年经验手把手教你单片机

2023-08-21 17:51:062

在vivado上一直识别不了开发板的JATG接口怎么解决?

我在vivado上一直识别不了开发板的JATG接口,也已经参考社区提供的解决方案尝试了:[size=1.75]RVMCU课堂「9」: 手把手教你玩转RVSTAR—常见问题,设备管理器显示如下,但是vivado还是识别不了,请大佬指点一下,谢谢。
2023-08-16 07:16:30

手把手教你学51单片机-C语音版电子版

2023-08-15 15:45:2415

使用LabVIEW 实现物体识别、图像分割、文字识别、人脸识别等深度视觉

LabVIEW可以实现深度学习嘛,今天我们一起来看看使用LabVIEW 实现物体识别、图像分割、文字识别、人脸识别等深度视觉
2023-08-11 16:02:21757

labview通用视觉框架参考

labview通用视觉软件框架,机器视觉通用框架 通用视觉框架源代码。可以参考用于开发常规案例。里面有部分函数,用户也可随意编辑函数,开发速度超级快。打开前需要先安装labview、VDM、VAS2019及以上版本。使用MySQL数据库
2023-08-04 15:58:4033

labview视觉通用平台框架源代码

labview通用视觉软件框架,机器视觉通用框架 通用视觉框架源代码。可以参考用于开发常规案例。里面有部分函数,用户也可随意编辑函数,开发速度超级快。打开前需要先安装labview、VDM、VAS2019及以上版本。 使用MySQL数据库
2023-08-03 16:57:01

手把手教你如何接点动实操演示

plc编程星三角程序
学习电子知识发布于 2023-08-02 14:59:30

信驰达RF-BM-2340B1蓝牙模块手把手透传指南

RF-BM-2340B1 是信驰达科技基于美国TI的 CC2340为核心设计的一款SimpleLink 2.4 GHz 无线模块,支持Bluetooth®5.3 Low Energy、Zigbee®、IEEE 802.15.4g、TI 15.4-Stack (2.4 GHz)及私有协议。集成了高性能 ARM Cortex-M0+ 处理器,具有 512 KB Flash、 36 KB 超低泄漏 SRAM,板载工业级 48 MHz 晶振。模块引出了 24 个 IO ,包含多种外设,如:I2C、UART、SPI、ADC 和GPIO。支持 BLE 5 功能:高速模式(2 Mbps PHY),远距离广播(LE Coded 125kbps 和500kbps PHY),且向下兼容 BLE 4.2 及早期的 BLE 规范的关键功能。
2023-07-28 10:01:51314

手把手带你了解一块电路板,从设计到制作(干货)

拆开手机内部,会发现手机的主体部分除了用于显示的屏幕触控板就是一块有各种各样元器件的电子电路板。 所以问题来了,这个电子电路板是怎么来的? 本次就来聊一聊这个电子电路板从无到有的过程。 首先需要知道,电子电路板也叫主板,主板一般我们叫PCB(Printed Circuit Board)板,中文名称印刷电路板,上面集成了各种规格的电阻,电容,电感二极管等,当然也包含有各种功能的IC,在这些元件的共同作用下,得到了具有各种功能的电子产品的电
2023-07-26 10:33:121856

手把手教您家用路由器应该如何挑选

手把手教您家用路由器应该如何挑选
2023-07-26 09:20:57763

信驰达RF-BM-2340B1蓝牙模块手把手透传指南

RF-BM-2340B1 是信驰达科技基于美国TI的 CC2340为核心设计的一款SimpleLink 2.4 GHz 无线模块,支持Bluetooth5.3 Low Energy、Zigbee、IEEE 802.15.4g、TI 15.4-Stack (2.4 GHz)及私有协议。集成了高性能 ARM Cortex-M0+ 处理器,具有 512 KB Flash、 36 KB 超低泄漏 SRAM,板载工业级 48 MHz 晶振。模块引出了 24 个 IO ,包含多种外设,如:I2C、UART、SPI、ADC 和GPIO。支持 BLE 5 功能:高速模式(2 Mbps PHY),远距离广播(LE Coded 125kbps 和500kbps PHY),且向下兼容 BLE 4.2 及早期的 BLE 规范的关键功能。
2023-07-25 18:23:47491

手把手教你单片机程序框架 几种常见的单片机编程框架解析

什么是框架? 程序框架其实就类似一个文件大纲或者模板。因为写程序就类似于写文章,如果没有大纲或者模板那么你写起来就会比较费劲。 为什么要有框架? 节约时间,减少错误。因为对于一种类型的程序它们代码结构体逻辑是一样的,同时有大量相似或者共同的地方。我们可以将这些共同的地方抽出来形成一个固定的程序框架,那么我们再开发新的同一种类型的程序时就可以套用这套框架。 这样会大大提高我们的开发效率,同时由于这个框架是一
2023-07-17 19:55:021388

U-boot的基本介绍

从本文开始,将陆续推送“手把手教你移植U-boot”系列文章,目标是由浅入深地讲解U-boot的工作流程、原理、配置方法和移植方法,手把手教你完成U-boot的移植工作,默认硬件开发平台为ARM,操作系统为Linux。
2023-07-14 16:52:011233

专家手把手教您注册 COS 以及提交 Hardware Case

Software Case  您可参考: (点击进入☞) 《专家手把手教您注册 COS 以及提交 Case》 。 如您想提交 Hardware Case,本篇将手把手教您,
2023-07-12 12:15:02577

【教程】手把手教你!搭建LoRaWAN自动采集系统

一系统简介随着物联网技术的发展和应用,许多新兴的物联网平台和协议出现。LoRaWAN是一款基于LoRa的星型网络,使用LoRa的低功耗、距离远的特性,这种网络适用于各类抄表业务。LoRaWAN网络组成主要分三部分,设备端、网关和服务器端。下文是使用E78-DTU、E890-470LG11LoRaWAN网关搭建一个LoRaWAN的自动采集系统,LoRaWAN服
2023-07-07 10:02:15574

手把手分享做网线水晶头的技巧

如今,随着网络技术的飞速发展,电脑已经成为家庭和工作的必备工具。电脑上网需要接入网线。你知道网线两端的插头是怎么做的吗?下面科兰小编手把手分享做网线水晶头的技巧。 做网线水晶头首先要知道网线的结构
2023-07-05 10:35:441183

好书推荐|《OpenHarmony嵌入式操作原理与应用》

。 这本书除了对rk2206开发板进行硬件原理图和芯片资源的讲解,还对软件可能涉及到的操作系统原理知识进行了更细致的介绍。所以完全不用担心这本书教不会你。从环境搭建到使用,可以说是手把手教你如何去做
2023-06-27 23:12:28

手把手教你画“GND”

“GND”在一块PCB板上的重要程度,不亚于水对人体的重要程度。怎么画好“GND”会伴随硬件工程师很长一段时间,想要画好“GND”其实并不难,只要注意下面这几点就可以了。
2023-06-20 10:15:35753

手把手教你单片机,了解一下学习板!

电工技术电工基础
YS YYDS发布于 2023-06-10 22:55:28

手把手教你无感FOC电机控制

该图为FOC入门基本框架,我们围绕着这个框图开始从0开始写FOC算法。
2023-06-09 16:43:154176

手把手教你电气图变成PLC程序图

PLC程序设计,一般均采用直觉法,也就是说它植基于电路设计者本身之学习经验,较为主观及直接。须经历一段瞎子摸象的尝试错误(tryanderror)时期,对程序进行除错之后才能符合所需功能或动作要求;因此设计出来的程序因人而异,除了原程序设计者之外,使用者或维修人员较不易理解其动作流程,亦即程序的可读性较低。
2023-06-06 10:01:57368

电子初学者必备:手把手教你单片机程序框架

适合初学单片机的朋友
2023-05-31 18:34:18

手把手教你搭建内网穿透服务器

有时候我们需要把外网可以访问自己的内网,比如在微信公众号开发调用接口时为了方便调试就需要配置回调地址或者是想把自己的nas可以在不在家就能访问,这时候就需要内网穿透。
2023-05-29 16:31:2712309

手把手教你开发圆盘仪表控件

大家好,我是新阁教育韩工,前几天在网上看到了一个比较好看的环形控件,今天我们来尝试使用GDI+的方式来绘制一下。上位机自定义控件库其实本质上就是一个类库,所以我们在创建项目时直接创建类库项目。
2023-05-29 15:06:450

手把手教你无感FOC电机控制,MATLAB代码生成

华夏电子工作室:2023年6月8日下午3点(15:00)电机控制MATLAB代码生成系列课程直播第一季。邀请各位工程师准时来直播间讨论和观看。届时代码全开源。 下面我们来说一下FOC简单框架: 该图为FOC入门基本框架,我们围绕着这个框图开始从0开始写FOC算法。 首先我们来讲一下CLARK变换: 我们把CLARK变换总结如下公式: 变换图可见变换要点和变换中间电流变换: 到这里,我们把CLAEKPARK,公式已经写清楚了,SVPWM怎么写呢: 我们根据七段式SVPWM基本原理,先说落在扇区的充要条件 然后我们在写七段式SVPWM如下: 有了七段式SVPWM的公式,根据如下图形: 我们知道落在每个扇区的电压矢量和电压大小。那么我们就能搭建一个FOC框架了。到这里我们MATLAB如下编写模型: 细节如下: 七段式SVPWM编写: PARK变换,严格按照上述公式编写: 。如上FOC框架基本完成,那么往下我们讲无感观测器 无感观测器是基于电流积分误差估算的PLL位置锁相观测器:该观测器优点:重载启动,耐高低温,表贴凸极一样好用。我们来看看观测器公式: 通过引入Kd,Kq来消除位置观测误差,电流误差等,让我们更好的得到电机位置。 还能得到电机实时转矩: 通过dq轴电流误差估算电机转矩。所以是一篇不错的文章和应用。希望大家下载认真看看, 下面给大家付上MATLAB仿真模型及解析: MATLAB Sumlink仿真:*附件:现代永磁同步电机控制原理及MATLAB仿真.zip 另外本工程师推出MATLAB大家控制仿真及代码生成系列课程,6月8日下午上点电子发烧友直播。请各位工程师朋友一起讨论代码生成,电机控制。如下是工作室仿真模型摘要: 。谢谢观看。*附件:PLL Position and Speed Observer With Integrated Current Observer for Sensorless PMSM Drives.pdf*附件:电机控宣传.pptx
2023-05-29 10:12:34

手把手教你MATLAB无感FOC电机看着代码生成,付赠资料。

华夏电子工作室:2023年6月8日下午3点(15:00)电机控制MATLAB代码生成系列课程直播第一季。邀请各位工程师准时来直播间讨论和观看。届时代码全开源。 下面我们来说一下FOC简单框架: 该图为FOC入门基本框架,我们围绕着这个框图开始从0开始写FOC算法。 首先我们来讲一下CLARK变换: 我们把CLARK变换总结如下公式: 变换图可见变换要点和变换中间电流变换: 到这里,我们把CLAEKPARK,公式已经写清楚了,SVPWM怎么写呢: 我们根据七段式SVPWM基本原理,先说落在扇区的充要条件 然后我们在写七段式SVPWM如下: 有了七段式SVPWM的公式,根据如下图形: 我们知道落在每个扇区的电压矢量和电压大小。那么我们就能搭建一个FOC框架了。到这里我们MATLAB如下编写模型: 细节如下: 七段式SVPWM编写: PARK变换,严格按照上述公式编写: 。如上FOC框架基本完成,那么往下我们讲无感观测器 无感观测器是基于电流积分误差估算的PLL位置锁相观测器:该观测器优点:重载启动,耐高低温,表贴凸极一样好用。我们来看看观测器公式: 通过引入Kd,Kq来消除位置观测误差,电流误差等,让我们更好的得到电机位置。 还能得到电机实时转矩: 通过dq轴电流误差估算电机转矩。所以是一篇不错的文章和应用。希望大家下载认真看看, 下面给大家付上MATLAB仿真模型及解析: MATLAB Sumlink仿真:*附件:现代永磁同步电机控制原理及MATLAB仿真.zip 另外本工程师推出MATLAB大家控制仿真及代码生成系列课程,6月8日下午上点电子发烧友直播。请各位工程师朋友一起讨论代码生成,电机控制。如下是工作室仿真模型摘要: 。谢谢观看。*附件:PLL Position and Speed Observer With Integrated Current Observer for Sensorless PMSM Drives.pdf*附件:电机控宣传.pptx
2023-05-29 10:11:10

手把手教你MATLAB,Sumlink电机控制代码生成,内容表贴电机凸极电机无感FOC重载启动。系列课程免费直播在线互动。

2023年06月08日下午3点直播开始(15:00时) 算法完全开源。芯片基于STM32F302C8T6。本次系列课程会在电子发烧友免费直播。期间会把算法全开源。资料全开源。手把手教大家搭建FOC
2023-05-26 14:00:49

手把手教你在RT-THREAD bsp上运行pikascript脚本点亮小灯

简介 这篇文章介绍如何在RT-THREAD bsp上运行pikascript脚本。 pikascript相当于一个小型的micropython。 原文: https://blog.csdn.net/lt6210925/article/details/130694587 最近有一些结构上的调整,这篇文章大概介绍一下如何使用。以及开发过程中需要注意的问题。 这篇文章几乎适配所有的RT-THREAD上的bsp。(部分bsp可能需要修改一些兼容性问题) 当然,首先你要对RT-THREAD有所熟悉 熟悉网页 https://www.rt-thread.org/document/site/#/rt-thread-version/rt-thread-standard/tutorial/quick-start/stm32f103-si
2023-05-25 07:45:01498

上位机中定时器的使用和串口的配置

本文是讲解C#.net平台的Winform框架下的第三个内容,手把手介绍上位机项目的创建方式以及一些写软件时常用的功能,讲解从零开始的每一个步骤。
2023-05-19 17:17:433

手把手教你,两台FX5U简单CPU通信如何设置?

新建主站PLC工程,设置主站PLC的IP地址。
2023-05-19 10:23:464298

手把手教你用博图V17编写一个PLC程序

添加新设备后,与博途V16其它版本不同的是,博途V17有一个弹出窗口,需要进行PLC安全设置。首先设置PLC数据密码,如图所示。
2023-05-11 10:42:173038

手把手带你搞硬件设计

先用万能植锡钢网(这是最落后的工具,除此之外还有植锡台,不过挺贵的),跟BGA对齐,再用胶布把BGA和钢网粘住固定好。先加锡膏,再用风枪吹一会(风枪的风速和温度可以调低一点),锡变亮的时候,再用手术刀,把多余的锡刮走。如果锡球不均匀的话,再重复上一步,直接锡球均匀为止。撕掉胶布,用手术刀把BGA撬起来。
2023-05-10 11:54:42775

C#上位机系列(1)—项目的建立

本文是讲解C#.net平台的Winform框架下的第一个内容,手把手介绍项目的创建方式以及一些写软件时常用的功能。之前写过一篇关于示波器的比较抽象,本文讲解从零开始的每一个步骤
2023-05-10 10:38:210

手把手教你写上位机软件(c#、winform)

在自动化行业中的上位机软件类型一般分为以下几种: 1、组装设备的上位机软件 该类型的软件一般有以下功能: 1)和PLC通信; 2)和相机通信,界面显示图片以及通过图像算法获取算法计算结果; 3)各种参数开放到界面可设置; 4)数据的保存,将数据以csv、txt等格式进行保存; 5)日志用于问题分析
2023-05-10 10:30:285

手把手教你写上位机(三)TCP使用

网络通信中的一个非常重要的概念就是套接字(Socket),简单地说,套接字就是网络进程的 ID,网络通信归根到底是进程的通信,在网络中,每个节点有一个网络地址(即 IP 地址),两个进程通信 时,首先要确定各自所在网络节点的网络地址,但是,网络地址只能确定进程所在的计算机,而一台计算机上可能同时有多个网络进程,还不能确定到底是其中的哪个进程,由此套接字中还要有其他的 信息,那就是端口号(Port),在一台计算机中,一个端口
2023-05-09 10:58:360

手把手教你编写一个上位机

本次来教大家编写一个基于QT的简单的上位机。 学习一个新的东西我们都从最基础地实例开始,比如学习C语言我们会从编写一个hello程序开始、学习嵌入式我们从点灯开始。 同样的,我们也从编写一个简单的基于QT的上位机来体会体会上位机开发及认识认识QT。
2023-05-08 10:36:441

手把手教你电机FOC控制

三向电机,分别为UVW三向,角度互差120度。若使用BLDC控制方法,如下图每次换向增加60度,转子只能到达六个位置,所以六步换向时会有振动。使用FOC控制方法可以使转子到达任意角度,所以 运行起来会更加平滑。 如果想到达40度的位置,只需要在0度方向通电一段时间,在60度方向通电一段时间,再在空矢量的状态下通电一段时间(全桥000或111的位置为空矢量,空矢量的时长用来调节扭矩。后面会讲到),三 段时间组成一个周期,以这个周期循环产生
2023-05-06 11:07:4811

工程师手把手教你硬件电路设计

在学习电路设计的时候,不知道你是否有这样的困扰:明明自己学了很多硬件电路理论,也做过了一些基础操作实践,但还是无法设计出自己理想的电路。归根结底,我们缺少的是硬件电路设计的思路,以及项目实战经验。
2023-04-26 09:36:552397

智能面板小程序如何实现跨端开发,并无缝引入ChatGPT?

手把手教你开发智能面板小程序
2023-04-18 18:31:345196

成长计划知识赋能 | 第十期:DAYU200开发入门秒Get

的框架原理、从零上手OpenHarmony智能家居项目、手把手教你实现WiFi扫描仪、涂鸦小游戏、渐进式深入理解OpenHarmony系统等41节课程,覆盖近10万+开发者。2023年
2023-04-17 11:18:05

德索手把手教你延长LVDS连接器的使用寿命

德索五金电子工程师指出,LVDS连接器电子元件的使用寿命,很多时候能决定了行业中电子连接系统的寿命,从电信到制造再到自动化。当您对机器设备或连接系统的早期故障进行故障排除时,故障可能与关键系统的LVDS连接器电子元件的故障有关。那该注意哪些问题才能防止这种情况发生呢?
2023-04-14 11:04:15239

手把手教你什么是运放的巧用!

电路分析
YS YYDS发布于 2023-04-14 08:37:49

手把手教你使用Matlab Designer开发App!

matlab
YS YYDS发布于 2023-04-13 18:27:58

手把手教你如何驱动无刷电机

无刷电机
YS YYDS发布于 2023-04-13 14:04:58

【正点原子STM32精英V2开发板体验】资料获取方式

个,工程结构更加合理、编程风格更加规范化等。教程方面推出了一系列全新的视频!目前已经上架(并持续更新)的视频包括:手把手教你STM32 HAL库开发教学视频(兼容全系列)、手把手教你
2023-04-13 09:13:27

超实用!手把手教你如何拷贝遥控器

一般用户习惯称为万能遥控器,而我们一般习惯叫它对拷遥控器或者射频遥控器,它的出现使电动车或者电动门匹配变得十分简单,特点是:不需要拷贝机,也不需要知道震荡电阻,不需要复杂的操作,只需要轻轻松松的几部操作就可以获得崭新且功能一样的遥控器;但前提是得保证原来遥控器可使用并且知道频率。
2023-04-07 17:24:158097

手把手教你同轴连接器优化设计

射频同轴连接器是微波领域中重要的射频传输元件,因其频带宽、连接方便可靠、性能优越、成本低廉,在微波通信设备、仪器仪表及武器系统中得到广泛应用。
2023-04-07 10:50:44733

手把手教你学习Spyglass工具

作为IC设计人员,熟练掌握数字前端语法检查工具Spyglass的重要性不言而喻,本文手把手教你学习Spyglass工具。
2023-04-03 10:46:541498

手把手教您如何设计3通道交错式CCM升压PFC(上篇)

点击蓝字 关注我们 交错式升压功率因数校正 (PFC) 转换器可以通过负载均流来提高效率,因此它已成为高功率应用的首选拓扑。通过在多个平衡相位中分担负载电流,可以显著减小每相的 RMS 电流应力、电流纹波和升压电感大小。因此,重载效率显著提高,从而允许选择高性价比的功率 MOSFET 和升压二极管,并有利于延长电源的使用寿命。 FAN9673 先进 PFC 控制器是实现高功率 PFC(数千瓦以上)的出色解决方案。 FAN9673 是一款连续导通模式 (CCM) PFC 控制器,
2023-03-29 23:20:042484

手把手教你玩转RVSTAR—SEGGER Embedded Studio+JLink调试器篇

本教程以板上按键控制点亮RV-STAR开发板上的LED为目标,从IDE的下载安装开始详细介绍了使用SEGGER Embedded Studio+JLink调试器进行RISC-V嵌入式开发的方法。系统环境:Windows 10-64bit硬件平台:基于GD32VF103 MCU的RV-STAR开发板,JLink调试器(调试器固件版本需支持RISC-V)SEGGER Embedded Studio 和 JLink驱动的下载和安装SEGGER Embedded Studio是SEGGER公司推出的一款嵌入式开发的集成开发环境,具有开发与编译界面专业、调试功能强大(配备知名的J-Link仿真器)、非商业使用免费、跨平台兼容和配置灵活等特点。在SEGGER官网可以下载IDE软件,其链接如下:https://www.segger.com/downloads/embedded-studio/根据自己的操作系统下载对应的安装包即可,需要注意的是,一定要下载支持RISC-V的IDE。双击安装包运行,安装过程没有特殊需要注意的地方,一直点next即可。安装SEGGER Embedded Studio之后还要安装JLink驱动,也在SEGGER官网下载,其连接如下:https://www.segger.com/downloads/jlink/同样,安装过程没有特别要注意的,正确安装即可。下载并配置工具链下一步准备工具链,从芯来科技官网的下载页面下载,其链接如下:https://www.nucleisys.com/download.php根据使用的操作系统下载对应的RISC-V GNU Toolchain。在SEGGEREmbeddedStudio的安装路径下新建一个Nuclei_Toolchain文件夹。如果不记得安装到哪里了,可以打开安装好的EmbeddedStudio,菜单栏中选择“File->Open Studio Folder->Studio Folder”即可打开安装位置。在新建的Nuclei_Toolchain文件夹里面放入解压好的工具链,直接解压后还需修改一下文件夹层级和文件夹名。文件名修改成gcc,并且要求下一级文件夹是bin文件所在层级,可参考以下截图:使用JLink调试器连接开发板到PC使用JLink调试RV-STAR需要移除开发板上的五个短接帽,如下图中红框所示。连接时如果看不清图中文字,可以参考板上的丝印。JLink的引脚如下,红框标注的是需要连接的引脚,将JLink的引脚与上图中红框引脚按照名字一一对应相连。注意接到RV-STAR开发板靠近GD32VF130VBT6芯片侧的排针上。VTref引脚要接到RV-STAR开发板RGB LED下方的3V3接口。使用时Type-C也需要连接,起到供电的作用。连接后参考实物图如下:下载DEMO工程在Github下载RV-STAR的demo工程,其链接如下:https://github.com/riscv-mcu/ses_nuclei_sdk_projects国内使用github下载速度有限,推荐使用码云,其链接如下:https://gitee.com/riscv-mcu/ses_nuclei_sdk_projects配置使用RTT打印输出JLink调试器可以使用SEGGER的RTT功能打印输出,需要配置一些文件到工程当中。下载DEMO工程后打开rvstar_demos文件夹,双击rvstar_demos.emProject即可打开demo工程。选择菜单栏的“File->Open Studio Folder->Project Folder”打开工程所在路径,新建一个SEGGER文件夹。打开J-Link驱动的根目录,将“Samples->RTT”路径下的“SEGGER_RTT_V680d.zip”解压缩(具体压缩包名可能因版本不同而变化),如下图所示。解压后将RTT文件夹下的“SEGGER_RTT.c”,“SEGGER_RTT.h”和“SEGGER_RTT_Conf.h”三个文件以及Syscalls文件夹下的“SEGGER_RTT_Syscalls_GCC.c”这些文件复制到之前新建的SEGGER文件夹中。在EmbeddedStudio中右击当前工程,选择“New Folder”,新建一个SEGGER文件夹,右击新建的文件夹选择“Add ExistingFile”,将SEGGER文件夹内新增的四个文件添加至工程中。配置完成如下图,双击打开“SEGGER_RTT_Syscalls_GCC.c”文件,注释掉第59行的“#include”。右击当前工程名,选择Options打开设置页面,选中“Preprocessor”,在“User Include Directories”中增加“$(SolutionDir)/SEGGER”。在工程的“nuclei_sdk/SoC/hbird/Common/Source/Stubs”下的“write.c”文件处右击,选择“Exclude From Build”移除原有的write.c文件。这样RTT配置完成,在调试时如果有打印内容会自动打开控制台显示输出内容。调试运行DEMO工程右击当前工程名,选择“Options”打开工程设置页面。选中Debugger,双击Target Connection选项,在弹窗中切换为J-Link,点击OK完成设置。双击Project ‘running led’选中running_led工程,直接按f5即可进入调试模式。点击运行,这时候按键就会看到led在红绿蓝白之间切换,同时在“Debug Terminal”中看到打印内容。
2023-03-29 14:27:29

手把手教你玩转RVSTAR—SEGGER Embedded Studio+蜂鸟调试器篇

本教程以板上按键控制点亮RV-STAR开发板上的LED为目标,从IDE的下载安装开始详细介绍了使用SEGGER Embedded Studio+蜂鸟调试器进行RISC-V嵌入式开发的方法。系统环境:Windows 10-64bit硬件平台:基于GD32VF103 MCU的RV-STAR开发板SEGGER Embedded Studio的下载和安装SEGGER Embedded Studio是SEGGER公司推出的一款嵌入式开发的集成开发环境,具有开发与编译界面专业、调试功能强大(配备知名的J-Link仿真器)、非商业使用免费、跨平台兼容和配置灵活等特点。在SEGGER官网可以下载IDE软件,其链接如下:https://www.segger.com/downloads/embedded-studio/根据自己的操作系统下载对应的安装包即可,需要注意的是,一定要下载支持RISC-V的IDE。双击安装包运行,安装过程没有特殊需要注意的地方,一直点next即可。下载并配置工具链和openocd下一步准备工具链和openocd,从芯来科技官网的下载页面下载,其链接如下:https://www.nucleisys.com/download.php根据使用的操作系统下载对应的RISC-V GNU Toolchain和openocd。这里需要使用2021年2月以后的最新版openocd,因为最新版实现了免驱功能,如使用旧版openocd请到官网下载替换更新。在SEGGEREmbeddedStudio的安装路径下新建一个Nuclei_Toolchain文件夹。如果不记得安装到哪里了,可以打开安装好的EmbeddedStudio,菜单栏中选择“File->Open Studio Folder->Studio Folder”即可打开安装位置。在新建的Nuclei_Toolchain文件夹里面放入解压好的工具链和openocd,直接解压后还需修改一下文件夹层级和文件夹名。文件名分别是gcc和openocd,并且要求下一级文件夹是bin文件所在层级,可参考以下截图:连接板子到PCRV-STAR有板载蜂鸟调试器,所以短接帽接好,直接通过USB type-c接口连接至PC即可。下载调试DEMO工程在github下载RV-STAR的demo工程,其链接如下:https://github.com/riscv-mcu/ses_nuclei_sdk_projects国内使用github下载速度有限,推荐使用码云,其链接如下:https://gitee.com/riscv-mcu/ses_nuclei_sdk_projects下载后打开rvstar_demos文件夹,双击rvstar_demos.emProject即可打开demo工程。双击Project ‘running led’选中running_led工程,直接按f5即可进入调试模式。点击运行,这时候按键就会看到led在红绿蓝白之间切换。如果有串口调试助手的话也可以在串口调试助手看到输出,Embedded Studio也自带串口调试助手。
2023-03-29 14:24:21

手把手教你S7-1200中实现PID控制

PID功能用于对闭环过程进行控制。PID控制适用于温度、压力和流量等物理量,是工业现场中应用最为广泛的一种控制方式,其原理是对被控对象设定一个给定值然后将实际值测量出来,并与给定值比较,将其差值送入PID控制器,PID控制器按照一定的运算规律,计算出结果,即为输出值,送到执行器进行调节,其中的P、I和D指的是比例、积分和微分,是一种闭环控制算法。通过这些参数,可以使被控对象追随给定值变化并使系统达到稳定,自动消除各种干扰对控制过程的影响。
2023-03-27 14:54:373311

已全部加载完成