0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

浅谈ug1292中的降低逻辑延迟的解决方案

电子工程师 来源:未知 作者:工程师李察 2018-10-27 08:43 次阅读

在实现阶段,Vivado会把最关键的路径放在首位,这就是为什么在布局或布线之后可能出现逻辑级数低的路径时序反而未能收敛。因此,在综合或opt_design之后就要确认并优化那些逻辑级数较高的路径。这些路径可有效降低工具在布局布线阶段为达到时序收敛而迭代的次数。同时,这类路径往往逻辑延迟较大。因此,降低这类路径的逻辑延迟对于时序收敛将大有裨益。

降低逻辑延迟的流程如下图所示。不难看出,这一工作应在综合或者opte_design阶段完成。

在这个流程中,我们需要关注两类路径。一类路径是由纯粹的CLB中的资源(FF,LUT,Carry,MUXF)构成的路径;另一类则是Block(DSP,BRAM,URAM,GT)之间的路径。

无论是哪种路径,首先要通过命令report_design_analysis进行定位,具体命令格式如下图所示(也可在Vivado菜单Reports -> Report Design Analysis下执行)。

该命令可分析当前设计的逻辑级数分布情况,如下图所示,从而便于找到逻辑级数较高的路径。

点击逻辑级数分布报告中的数字,例如图中的19,可生成相应的时序报告,从而确定属于哪类路径,并进一步观察路径特征。

对于级联的小的LUT

如果路径中包含多个级联的小的LUT,检查一下这些LUT是否是因为设计层次、综合属性(KEEP,KEEP_HIERARCHY,DONT_TOUCH,MARK_DEBUG)等导致无法合并。

对于路径中存在单个的Carry

如果路径中有单个的Carry(不是级联的),检查一下这个Carry是否限制了工具对LUT的优化,从而造成布局不是最优的。如果是,可尝试在综合时使用FewerCarryChains策略或者在opt_design阶段对这个Carry设置CARRY_REMAP属性(具体使用方法可查看ug904)。

对于终点是SRL的路径

如果路径的终点是SRL,可尝试将SRL变为FF+SRL+FF或SRL+FF。这可在综合时通过使用SRL_STYLE综合属性实现,也可在opt_design阶段通过使用SRL_STAGES_TO_INPUT或SRL_STAGES_TO_OUTPUT实现。

对于终点是触发器控制端的路径

如果路径的终点是由LUT输出连接到触发器的同步使能端或同步复位端,可尝试将这类逻辑搬移到触发器的数据端,这可在综合时通过设置EXTRACT_ENABLE或EXTRACT_RESET综合属性实现,或者在opt_design阶段通过设置CONTROL_SET_REMAP属性(具体使用方法可查看ug904)实现。

使用Retiming

此外,还可以在综合时对全局使用retiming(选中-retiming选项)或者采用模块化综合方式,对某个模块使用retiming。

对于Block到Block的路径

对于Block到Block的路径,最好将其优化为Block + FF + Block。这里的FF可以是Block内部自带的触发器(如果有的话),也可以是Slice中的触发器。

如果数据由Block RAM输出,可采用如下命令观察使能Block RAM自带的寄存器之后是否对时序有所改善。这里要注意,如下命令用于评估,因为已造成设计功能改变,所有不要在此基础上生成bit文件。

set_property –dict {DOA_REG 1 DOB_REG 1} [get_cellsxx/ramb18_inst]

该命令等效于

set_property DOA_REG 1

[get_cells xx/ramb18_inst]

set_property DOB_REG 1

[get_cells xx/ramb18_inst]

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 逻辑
    +关注

    关注

    2

    文章

    818

    浏览量

    29293
  • 触发器
    +关注

    关注

    14

    文章

    1677

    浏览量

    60404
  • ug1292
    +关注

    关注

    0

    文章

    3

    浏览量

    2306

原文标题:深度解析ug1292(5)

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    立体智慧仓储解决方案.#云计算

    解决方案智能设备
    学习电子知识
    发布于 :2022年10月06日 19:45:47

    #硬声创作季 #数控加工 UG编程-221-UG编程-电极等高烂刀路解决方案

    电极解决方案数控UG数控加工
    水管工
    发布于 :2022年10月12日 00:41:26

    浅谈IC设计逻辑综合

    浅谈IC设计逻辑综合引言在IC设计流程逻辑综合是后端设计很重要的一个环节。综合就是指使用
    发表于 05-16 20:02

    怎么降低延迟

    你好。我是PC游戏玩家,我想调整我的英特尔i219-v网卡驱动程序,因为我可以获得更低的延迟..有一些设置我可以改变以降低延迟?以上来自于谷歌翻译以下为原文Hi. I am a PC gamer
    发表于 10-29 14:21

    计算机解决方案逻辑分析基础

    计算机解决方案逻辑分析基础
    发表于 07-29 13:37

    分享一种低延迟SGTLCODEC解决方案

    分享一种低延迟SGTLCODEC解决方案
    发表于 06-01 07:05

    ug1292时序收敛快速参考手册

    这个手册与ug949的理念是一致的即”尽可能地把所有问题放在设计初期解决“。宁可在设计初期花费更多的时间,也不要等到布局布线后才开始发现问题再解决问题。因为,在设计后期,往往会面临牵一发而动全身的被动局面。即使一个小的改动都有可能花费很多的时间和精力甚至造成返工。
    的头像 发表于 10-09 10:52 3187次阅读
    <b class='flag-5'>ug1292</b>时序收敛快速参考手册

    ug1292深度解析

    初始设计检查流程如下图所示。对象是综合后或opt_design阶段生成的dcp。会依次执行三个命令(图中红色标记),生成三个报告:FailFast报告、时序报告和UFDM(UltraFast Design Methodology)报告。
    的头像 发表于 10-12 11:19 3396次阅读

    UG1292使用之初始设计检查使用说明

    UG1292第一页是针对综合后或者opt_design阶段的使用说明。vivado的基本流程有5步(其实不同策略可以更多,具体使用方法和好处以后讲),分别是synth_design
    的头像 发表于 10-30 10:25 3141次阅读
    <b class='flag-5'>UG1292</b>使用之初始设计检查使用说明

    深度解析ug1292:降低布线延迟

    当整体资源利用率达到70%~80%时(对于多die芯片,这个数值是指每个SLR的资源利用率),需要砍掉一些模块以降低资源利用率。尤其要避免LUT/BRAM/DSP/URAM利用率同时出现大于80%的情形。如果BRAM/DSP/URAM这些Block利用率无法降低,那么要确
    的头像 发表于 10-30 10:47 4087次阅读

    DC1292A-设计文件

    DC1292A-设计文件
    发表于 04-12 10:10 1次下载
    DC<b class='flag-5'>1292</b>A-设计文件

    DC1292A DC1292A评估板

    电子发烧友网为你提供ADI(ti)DC1292A相关产品参数、数据手册,更有DC1292A的引脚图、接线图、封装手册、中文资料、英文资料,DC1292A真值表,DC1292A管脚等资料
    发表于 09-03 19:00

    【虹科方案】西部数据超低延迟NVMe存储解决方案

    背景:机会与限制一些应用程序需要整个网络(从主机到存储)的延迟响应极低,以实现最佳性能。所有NVMe存储解决方案都为降低整体延迟提供了新机会。但是,用于将存储聚合到外部NVMe阵列中的
    的头像 发表于 05-31 09:28 366次阅读
    【虹科<b class='flag-5'>方案</b>】西部数据超低<b class='flag-5'>延迟</b>NVMe存储<b class='flag-5'>解决方案</b>

    【虹科方案】西部数据超低延迟NVMe存储解决方案

    背景:机会与限制一些应用程序需要整个网络(从主机到存储)的延迟响应极低,以实现最佳性能。所有NVMe存储解决方案都为降低整体延迟提供了新机会。但是,用于将存储聚合到外部NVMe阵列中的
    的头像 发表于 05-31 10:38 266次阅读
    【虹科<b class='flag-5'>方案</b>】西部数据超低<b class='flag-5'>延迟</b>NVMe存储<b class='flag-5'>解决方案</b>

    UltraFast设计方法时序收敛快捷参考指南(UG1292)

    电子发烧友网站提供《UltraFast设计方法时序收敛快捷参考指南(UG1292).pdf》资料免费下载
    发表于 09-15 10:38 0次下载
    UltraFast设计方法时序收敛快捷参考指南(<b class='flag-5'>UG1292</b>)