0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

MFSK调制解调的原理及采用FPGA实现设计

电子设计 来源:郭婷 作者:电子设计 2019-01-07 08:07 次阅读

数字信号传输系统分为基带传输系统和频带传输系统.频带传输系统也叫数字调制系统。数字调制信号又称为键控信号,数字调制过程中处理的是数字信号,而载波有振幅、频率和相位3个变量,且二进制的信号只有高低电平两个逻辑量1和0,所以调制的过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制,最基本的方法有3种:正交幅度调制(QAM)、频移键控(FSK)、相移键控(PSK).根据所处理的基带信号的进制不同分为二进制和多进制调制(M 进制).多进制数字调制与二进制相比,其频谱利用率更高。本文研究了基于FPGA的MFSK(多频键控)调制电路的实现方法,并给出了MAX+PLUSII环境下的仿真结果。

1MFSK简介

MFSK系统是2FSK(二频键控)系统的推广,该系统有M个不同的载波频率可供选择,每一个载波频率对应一个M进制码元信息,即用多个频率不同的正弦波分别代表不同的数字信号,在某一码元时间内只发送其中一个频率。MFSK信号可表示为:

MFSK调制解调的原理及采用FPGA实现设计

为载波角频率,通常采用相位不连续的振荡频率,这样便于利用合成器来提供稳定的信号频率。图1 为MFSK系统的原理框图。在发送端,输入的二进制码元经过逻辑电路和串/并变换电路转换为M进制码元,每k位二进制码分为一组,用来选择不同的发送频率。在接收端,当某一载波频率到来时,只有相应频率的带通滤波器能收到信号,其它带通滤波器输出的都是噪声。抽样判决器的任务就是在某一时刻比较所有包络检波器的输出电压,通过选择最大值来进行判决。将最大值输出就得到一个M进制码元,然后,再经过逻辑电路转换成k位二进制并行码,再经过并/串变换电路转换成串行二进制码,从而完成解调过程。

MFSK调制解调的原理及采用FPGA实现设计

图1 MFSK系统原理框图

2MFSK调制电路的FPGA实现

2.1基于FPGA的MFSK调制电路方框图

调制电路方框图如图2所示。基带信号通过串/并转换得到2位并行信号;四选一开关根据两位并行信号选择相应的载波输出(例中M取4)。

MFSK调制解调的原理及采用FPGA实现设计

图2 MFSK调制电路方框图

2.2MFSK调制电路VHDL程序

调制电路VHDL关键代码如下:

entity MFSK is

port(clk :in std_logic; --系统时钟

start :in std_logic; --开始调制信号

x :in std_logic; --基带信号

y :out std_logic); --调制信号

end MFSK;

architecture behav of MFSK is

signal q :integer range 0 to 15; --计数器

signal f :std_logic_vector(3 downto 0); --分频器

signal xx:std_logic_vector(1 downto 0); --寄存输入信号x的2位寄存器

signal yy:std_logic_vector(1 downto 0); --寄存xx信号的寄存器

begin

process(clk) --此进程过对clk进行分频,得到4种载波信号f3、f2、 f1、f0。

begin

if clk'event and clk='1' then

if start='0' then f<="0000";

elsif f="1111" then f<="0000";

else f<=f+1;

end if;

end if;

end process;

process(clk) --对输入的基带信号x进行串/并转换,得到2位并行信号的yy

begin

if clk'event and clk='1' then

if start='0' then q<=0;

elsif q=0 then q<=1;xx(1)<=x;yy<=xx;

elsif q=8 then q<=9;xx(0)<=x;

else q<=q+1;

end if;

end if;

end process;

process(clk,yy) --此进程完成对输入基带信号x的MFSK调制

begin

if clk'event and clk='1' then

if start='0' then y<='0';                 -- if语句完成2位码并行码到4种载波的选通

elsif yy="00" then y<=not f(3);

elsif yy="01" then y<=not f(2);

elsif yy="10" then y<=not f(1);

else y<=not f(0);

end if;

end if;

end process;

end behav;

2.3仿真结果

MAX+PLUSII环境下的仿真结果如图3所示。

MFSK调制解调的原理及采用FPGA实现设计

图3 MFSK调制程序仿真结果

注:中间信号yy与输出调制信号y的对应关系:“00”=f3;“01”=f2;“10”=f1;“11”=f0。

3结束语

多进制数字调制技术与FPGA的结合使得通信系统的性能得到了迅速的提高。本文基于FPGA实现了MFSK调制电路部分,限于篇幅,没有对解调部分的电路进行讨论。在实际应用中,完全可以把调制部分和解调部分电路都集成到一片FPGA芯片内,这样即提高了FPGA内部结构的利用率,又可以降低系统的成本。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21328

    浏览量

    593275
  • 噪声
    +关注

    关注

    13

    文章

    1070

    浏览量

    47065
  • 数字信号
    +关注

    关注

    2

    文章

    797

    浏览量

    47223
收藏 人收藏

    评论

    相关推荐

    基于FPGA的AM调制解调调制采用ROM+任意载波频率,解调采用FIR

    fpga调制解调载波FIR解调
    奔跑的小鑫
    发布于 :2022年09月26日 10:47:53

    哪位大神用FPGA实现过OFDM调制解调

    哪位大神用FPGA实现过OFDM调制解调
    发表于 07-02 22:26

    基于VHDL方式实现了QPSK数字调制解调电路的设计

    本文基于VHDL方式实现了QPSK数字调制解调电路的设计,通过QuartusII软件建模对程序进行仿真,并通过引脚锁定,下载到FPGA芯片EP1K30TC144—3中,软件仿真和硬件验
    发表于 12-18 06:03

    分享一款不错的基于FPGAMFSK调制电路的实现方法

    什么是MFSK?MFSK调制电路的FPGA实现
    发表于 04-08 06:10

    如何利用FPGA实现中频调制解调系统?

    中频调制解调系统具有哪些特点?如何利用FPGA实现中频调制解调系统?
    发表于 04-28 07:21

    怎么实现基于FPGA的CDMA调制/解调模块的设计?

    本文设计了一个基于FPGA 的直接序列扩频系统的水声通信调制/解调系统,目的在于使水声无线通信中具有更强的抗干扰性和保密性,系统中包含了信号的扩频及BPSK 调制以及相应的
    发表于 05-24 06:41

    OOK调制解调FPGA实现,求Verilog代码

    自己对FPGA刚开始学习,但又特别需要用到FPGA实现OOK的调制解调,求帮忙,由于是新人,还只有一个积分,太可怜了。求大神帮忙。
    发表于 11-26 16:11

    基于FPGA 的QPSK 调制解调电路设计与实现Design

    数字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。文中介绍了QPSK调制解调的原
    发表于 06-09 09:06 124次下载

    基于F PGA的QPS K调制解调电路设计与实现

    数字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。文中介绍了QPSK调制解调的原
    发表于 07-22 15:42 0次下载

    基于FPGA的QPSK解调器的设计与实现

    根据软件无线电的思想,用可编程器件FPGA 实现了QPSK 解调采用带通采样技术对中频为70MHz 的调制信号采样,通过对采样后的频谱进行
    发表于 08-27 11:00 68次下载

    MFSK调制电路的FPGA设计与仿真

    频移键控( FSK )是用不同频率的载波来传递数字信号,并用数字基带信号控制载波信号的频率。笔者提出了一种基于DDS(Digital Direct Synthesizer)技术的MFSK调制器的FPGA
    发表于 08-05 14:27 100次下载
    <b class='flag-5'>MFSK</b><b class='flag-5'>调制</b>电路的<b class='flag-5'>FPGA</b>设计与仿真

    基于FPGA的BPSK调制解调器设计

    本文设计实现了一种新型的BPSK信号调制解调器,利用m序列的随机性来产生输入基带信号,详细介绍了基于FPGA的BPSK信号调制解调器的设计方法,提供了VHDL源代码在Quartus I
    发表于 03-31 15:06 67次下载
    基于<b class='flag-5'>FPGA</b>的BPSK<b class='flag-5'>调制</b>与<b class='flag-5'>解调</b>器设计

    基于VHDL的基带信号的MFSK调制

    --多进制数字频率调制( MFSK )系统VHDL程序 --文件名:MFSK --功能:基于 VHDL 硬件描述语言,完成对基带信号的MFSK调制
    发表于 05-22 09:21 1055次阅读

    DPPM调制解调技术的FPGA实现

    电子专业单片机开发中的学习教程资料——DPPM调制解调技术的FPGA实现
    发表于 08-08 14:45 0次下载

    基于QPSK数字调制解调FPGA实现

    实现,其具有频谱利用率高、频谱特性好、抗干扰性能强、传输速率快等特点。运用verilog编写在QPSK调制解调代码以及ISE自带的IP CORE在Xilinx公司的FPGA平台上测试
    的头像 发表于 02-20 07:50 1.9w次阅读
    基于QPSK数字<b class='flag-5'>调制</b><b class='flag-5'>解调</b>的<b class='flag-5'>FPGA</b><b class='flag-5'>实现</b>