0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

用74ls90设计六进制加法计数器

科技绿洲 来源:网络整理 作者:网络整理 2023-12-14 17:30 次阅读

74LS90是一种常用的二进制计数器芯片,它可以实现二进制数的加法或减法计数。本文将介绍如何使用74LS90设计一个六进制加法计数器。

74LS90是一种双时钟输入的二进制计数器芯片,具有异步置数、异步清零和异步翻转等功能。它有两个时钟输入端(A和B),两个数据输入端(D0和D1),两个输出端(Q0、Q1)和一个进位输出端(CO)。

设计思路

要设计一个六进制加法计数器,我们需要将74LS90的输出端(Q0、Q1)与另一个六进制计数器相连,以实现六进制数的加法计数。同时,我们还需要使用一些额外的逻辑门电路来实现异步置数、异步清零和异步翻转等功能。

电路设计

  1. 输入电路:将74LS90的时钟输入端(A和B)与另一个六进制计数器的时钟输入端相连,以实现同步计数。同时,将74LS90的数据输入端(D0和D1)与另一个六进制计数器的数据输入端相连,以实现异步置数和异步清零。
  2. 输出电路:将74LS90的输出端(Q0、Q1)与另一个六进制计数器的输出端相连,以实现六进制数的加法计数。同时,将74LS90的进位输出端(CO)与另一个六进制计数器的进位输入端相连,以实现进位传递。
  3. 异步置数和异步清零电路:使用一些额外的逻辑门电路来实现异步置数和异步清零功能。具体实现方法可以根据实际需求进行选择。
  4. 电源电路:为整个电路提供稳定的电源电压。

工作原理

当给定一个时钟信号时,74LS90开始计数,其输出端(Q0、Q1)会根据计数值的变化而变化。当计数值达到最大值时,进位输出端(CO)会输出一个高电平信号,表示需要进位。此时,另一个六进制计数器的进位输入端会接收到这个高电平信号,从而开始下一个六进制数的计数。同时,74LS90的数据输入端(D0和D1)可以用于异步置数和异步清零操作。当需要置数时,可以通过将数据输入端设置为特定的值来实现;当需要清零时,可以通过将数据输入端设置为0来实现。

性能测试与结果分析

在完成电路设计后,我们需要对六进制加法计数器进行性能测试。首先,我们可以通过给定不同的时钟信号和数据输入信号来测试计数器的功能是否正常。其次,我们可以通过观察输出信号的变化来验证计数器是否能够正确地进行六进制数的加法计数。最后,我们可以通过对比理论值和实际测试结果来分析计数器的性能指标是否满足设计要求。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 电路
    +关注

    关注

    170

    文章

    5482

    浏览量

    169552
  • 计数器
    +关注

    关注

    32

    文章

    2121

    浏览量

    92977
  • 时钟
    +关注

    关注

    10

    文章

    1479

    浏览量

    130306
  • 74LS90
    +关注

    关注

    2

    文章

    19

    浏览量

    16735
收藏 人收藏

    评论

    相关推荐

    8.9.3 74LS90构成任意进制计数器(1)#硬声创作季

    74LS90
    学习硬声知识
    发布于 :2022年12月03日 16:51:46

    8.9.3 74LS90构成任意进制计数器(2)#硬声创作季

    74LS90
    学习硬声知识
    发布于 :2022年12月03日 16:52:19

    [求助]关于74LS90做24进制计数器的问题

    两片74LS90接成24进制计数器,书上是这么讲的:先将单片接成十进制计数器,在将片一的Q3端
    发表于 01-19 11:18

    74ls90中文资料

    74LS90是二,五,十进制异步计数器。异步计数器如果设定初态,在每个脉冲的作用下是按顺序变化的(态序)。二进制
    发表于 06-29 23:54 342次下载

    异步计数器74LS90引管脚图及功能表真值表

    异步计数器74LS90引管脚图及功能表真值表 74LS90为中规模TTL集成计数器,可实现二分频、
    发表于 11-22 12:48 1.7w次阅读
    异步<b class='flag-5'>计数器</b><b class='flag-5'>74LS90</b>引管脚图及功能表真值表

    74ls90引脚图及引脚功能

    74LS90计数器是一种中规模二一五进制计数器,管脚引线如图3.6-1,功能表如表3.6-1所示。  
    发表于 04-01 11:49 12.1w次阅读
    <b class='flag-5'>74ls90</b>引脚图及引脚功能

    基于74LS90数字电子钟逻辑电路的设计

    计数器采用两块74LS90接 成六十进制计数器, 分计数器也是采用两块74LS90接成六十
    发表于 12-22 13:13 6w次阅读
    基于<b class='flag-5'>74LS90</b>数字电子钟逻辑电路的设计

    浅谈用74LS90设计任意进制计数器

    计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,而且常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能,在电路设计中应用相当广泛。文章介绍一种用74LS90设计任意
    发表于 12-22 13:39 10.8w次阅读
    浅谈用<b class='flag-5'>74LS90</b>设计任意<b class='flag-5'>进制</b><b class='flag-5'>计数器</b>

    74ls9074ls290的区别是什么?

    74LS290和74LS90都是二分频,五分频十进制计数器,功能相同,74LS290改变了引线排列。引线脚排列不同.
    发表于 01-26 10:09 2.3w次阅读
    <b class='flag-5'>74ls90</b>和<b class='flag-5'>74ls</b>290的区别是什么?

    74ls90应用电路图大全(脉冲发生器/分频电路/计数器/数字电子钟逻辑电路)

    本文主要介绍了四款基于74ls90应用电路,首先介绍了74ls90脉冲发生器电路及74ls90实现电路的分频,其次介绍了基于74ls90设计的60
    发表于 05-29 16:45 6.6w次阅读
    <b class='flag-5'>74ls90</b>应用电路图大全(脉冲发生器/分频电路/<b class='flag-5'>计数器</b>/数字电子钟逻辑电路)

    74LS90引脚图及引脚功能资料免费下载

    74LS90逻辑电路图如图3.6-1所示,它由四个主从JK触发器和一些附加门电路组成,整个电路可分两部分,其中FA触发器构成一位二进制计数器;FD、FC、FB构成异步五进制
    发表于 05-30 08:00 0次下载
    <b class='flag-5'>74LS90</b>引脚图及引脚功能资料免费下载

    74LS90进制计数电路的3D原理图免费下载

    本文档的主要内容详细介绍的是74LS90进制计数电路的3D原理图免费下载
    发表于 03-24 16:35 23次下载

    74LS90进制计数电路的3D实验原理图免费下载

    本文档的主要内容详细介绍的是74LS90进制计数电路的3D实验原理图免费下载。
    发表于 03-25 16:06 44次下载

    74LS90六十进制计数器的3D实验原理图免费下载

    本文档的主要内容详细介绍的是74LS90六十进制计数器的3D实验原理图免费下载。
    发表于 03-25 16:06 99次下载

    74ls90管脚图和真值表

    74LS90是一种中规模的二五叶进制计数器
    发表于 06-04 15:46 1.9w次阅读
    <b class='flag-5'>74ls90</b>管脚图和真值表