0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

ESL设计中事务级建模的一般理论

麦辣鸡腿堡 来源:TrustZone 作者:TrustZone 2023-11-02 14:48 次阅读

在更详细地描述事务级建模之前,首先介绍在事务级建模中用到的一些术语。首先事务表示数据和事件的交换过程。各个连续的事务可以是不同大小的数据传输,也可以是在系统同步时用来调整或管理模块之间行为的事件。这种事件对保证模块间的行为传递是至关重要的。

例如,DMA传输结束后的中断信息就是一个系统同步行为。在电子系统中,每个元件由一组状态和并发的行为组成。在事务级建模中,电子系统中的元件可以建模为一个功能模块。一个电子系统可以由若干个事务级模块组成。元件的状态在事务级模型中用变量表示,而不同的行为在模型中用一组可以并发进行的进程表示。模块之间通过一种特殊的事务级通信结构实现相互通信,这种结构称为通道。

根据仿真精度的不同,通道可以是简单的路由器、抽象总线模型或者是片上网络,也可以是其他结构。通道是事务级模型中将通信从运算单元独立出来所必需的一种抽象。

模块和通道通过端口连接在一起。事务级模型中的接口包含通信协议,而这种通信协议的具体实现则在通道中完成。在系统中,主设备是系统中发起事务的模块,而从设备是接收或响应事务请求的模块。在建立通信过程中,主设备的进程通过模块的端口访问接口,接口区分事务级模型系统中的通信。

事务级模型(模块M、模块S和通道)构成一个简单的系统。模块M是系统中发起事务的主设备,而模块S是系统的从设备。模块M和模块S分别有两个独立的进程,描述不同的行为。模块M和模块S之间通过各自的端口绑定到通道提供的接口实现通信。

可以看出事务级模型实现了运算功能和通信功能的分开,它们在不同的事务级模型中完成。接口A和接口B分别是通信协议A和通信协议B的接口,它们是一组函数的集合,通过端口提供给进程调用,而接口函数集合中的函数体即通信协议的具体实现在通道模型中完成。

通道实现了两种通信协议,通信协议A和通信协议B。而模块M和模块S通过模块的端口绑定到通道后,以通信协议A规定的方式进行通信。

具体而言,在基于SystemC或C++的事务级模型中,接口通常被表示为C++抽象类。该抽象类定义了一组抽象的方法,但不定义这些方法的具体实现,即纯虚函数。

通道则继承一个或多个接口,实现在接口中定义的所有虚函数。模块中的进程可以通过端口使用时用通道提供的方法。端口总是与一定的接口类型相关联,端口只能连接到实现了该类接口的通道上。关于在SystemC中接口、通道、端口的具体语法请参照4.6.7节所述。

前面提到事务级模型可以分为3种,即没有时序信息的模型、周期近似的模型和精确到每个周期的模型。没有时间信息的计算或通信模型表示系统设计的功能描述,这些模型没有具体的实现细节。

周期近似的计算或通信模型则包含系统级的实现细节如系统体系结构的选择、系统定义的功能和体系结构中模块的映射关系等。

周期近似的运算或通信模型中的执行时间通常在系统级通过估计得到,因为在这时还没有周期精确的RTL级或者指令级的仿真平台。而周期精确的运算和通信模型包含了系统级的实现细节如RTL级或指令级描述,因此,可以得到周期精确的仿真结果。

算法模型描述系统的功能与具体的实现无关。算法模型不用通道的概念而是通过变量访问的形式建模数据在进程间的传输。算法模型是没有时间信息的模型。

在元件组装模型中,并发进行的进程单元通过通道进行通信。所谓进程单元是指剥离了通信机制的定制硬件、通用处理器DSP或其他IP的事务级模型的模块。通道是消息的输出通路,表示进程单元之间的数据传输和进程同步。

在元件组装模型中,通道没有时间信息,也没有总线或协议的具体实现。进程单元的运算部分是周期近似的,通过估计特定的进程单元的执行时间得到。与算法模型相比较,元件组装模型明确定义了进程在系统结构中的位置,并规定了进程到进程单元的映射。

在总线仲裁模型中,进程单元间的通道表示总线,包含了总线或者协议的实现,称为抽象的总线通道。通道同样通过消息的传送实现数据传输。在总线仲裁模型中,总线协议没有实现周期精确或者引脚精确,抽象的总线通道只是包含近似的时间信息,这个时间信息通常在每个事务中的等待声明中给出。

在一些情况下,几个总线通道抽象成一个总线通道,需要在总线通道的接口函数中增加逻辑地址和总线优先级参数,其中,逻辑地址区分不同的进程单元或进程调用接口函数,而总线优先级规定了总线冲突发生时总线的访问顺序,而且总线仲裁器作为一个新的进程单元增加到系统的体系结构中去,由它来处理总线冲突。主进程单元、从进程单元和总线仲裁器调用同一抽象总线通道中不同接口的函数。

总线功能模型包括时间精确或周期精确的通信及周期近似的运算。有两种总线功能模型,一种是时间精确,另一种是周期精确。时间精确的总线功能模型规定通信的时间约束,这种约束由模块之间通信协议的时序图决定,而周期精确模型以主进程单元时钟周期的方式给出时间约束。

在总线功能模型中,消息传送通道被协议通道取代。在一个协议通道中,总线的互连被例化成相应的变量和信号,实现周期精确的通信。

周期精确的运算模型包括周期精确的运算模型和周期近期的通信,这个模型可以从总线仲裁模型得到。在这个模型中,运算单元是引脚精确和周期精确的。

定制硬件电路可以在RTL级上建模周期精确的运算模型,而通用处理器或者DSP则一般在周期精确的指令集结构上建模周期精确的运算模型。为了使周期精确的进程单元和抽象总线通道的抽象接口进行通信,需要包裹一个转换层,实现高层抽象到低层抽象的数据传输,即实现进程单元和总线接口的通信。在周期精确的运算模型中没有必要使所有的运算都做到周期精确。

实现模型既是周期精确的运算,也是周期精确的通行,就是通常所指的RTL模型或者指令集模型。这个模型可以从总线功能模型或者周期精确的运算模型得到。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 模块
    +关注

    关注

    7

    文章

    2485

    浏览量

    46538
  • 接口
    +关注

    关注

    33

    文章

    7640

    浏览量

    148512
  • ESL
    ESL
    +关注

    关注

    1

    文章

    69

    浏览量

    21247
  • dma
    dma
    +关注

    关注

    3

    文章

    535

    浏览量

    99047
收藏 人收藏

    评论

    相关推荐

    控制系统的一般概念

    控制系统的一般概念1-2  自动控制系统的一般概念1-3  自动控制系统的分类1-4  对自动控制系统的基本要求. 自动控制的概念及应用1.
    发表于 05-26 15:05

    fpga时序分析一般都做哪些分析

    如题:fpga时序分析一般都做哪些分析我自己研究时序分析也有段时间了 ,从理论到altera的timequest,差不多都了解了 ,但就是不知道个具体的项目都要做哪些约束。求大神知
    发表于 10-22 22:20

    数据仓库建模理论的详细分析

    数据仓库建模理论——实体关系(ER)建模理论
    发表于 07-12 08:41

    电阻的选定一般是要符合阻抗匹配

    电阻的选定一般是要符合阻抗匹配的。电阻的主要作用是阻抗匹配,所以要清楚输出的输出阻抗、输入的输入阻抗,然后用个电阻或电阻网络去匹配。当然,现在的集成电路阻抗匹配范围很宽,很容易匹
    发表于 07-18 21:05

    一般RF/Mw

    一般RF/Mw
    发表于 09-02 11:14

    晶体管的基极分压电阻一般选用K欧姆级别的电阻阻值,原因是什么?理论依据来源于哪里?

    对于晶体管放大电路,比如常用的共射放大电路,一般基极会有两个分压电阻,用来控制给基极个合适的电平,保证晶体管的基极能导通,这两个分压电阻的阻值一般选用的都是K欧姆级别的阻值,原因是什么?
    发表于 06-08 17:23

    为什么一般FreeRTOS内核的优先推荐设置比较低的优先

    问题、为什么一般FreeRTOS内核的优先推荐设置比较低的优先? 如果内核优先比较低,则任务切换会受到中断函数执行的影响,Task的
    发表于 06-12 09:00

    剩余容量及其蓄电池的建模

    剩余容量是指蓄电池等储能装置在经过定时间的使用后剩余的容量大小。一般蓄电池的建模方法可以分为两大类:类是物理建模方法;另
    发表于 08-31 08:37

    电感元件的型号一般由哪些构成

    、【单选题】为了降低生产成本,常用铝线代替铜线,已知铝的电阻率远大于铜的电阻率,对于相同直径和长度的铜线和铝线,它们阻值间的关系为()(C)A、R铜=R铝B、R铜>R铝C、R铜D、与工作电压无关2、【单选题】电感元件的型号一般由主称、特征、型式等()部分组成。(B)A、3B、4
    发表于 09-01 07:47

    电感元件的型号一般由哪些构成

    、【单选题】槽板配线第步是()。(A)A、先定灯头、开关位置B、先固定底板C、先装灯头、开关木台D、先固定盖板2、【单选题】我国的安全工作电压规定一般规定为()(C)A、12VB、24VC、36VD、50V3、【单选题】电感元件的型号
    发表于 09-02 06:58

    个ARM的新概念—事务内存

    管理系统事务概念。在数据库管理系统事务必须满足ACID性质,即原子性(Atomicity),致性(Consistency),隔离性
    发表于 02-16 14:31

    基于ESL方法的DSP微处理器行为模型设计

    结合ESL事务建模的方法,提出一种DSP微处理器周期精确的行为模型的建立方法。该模型描述DSP处理器设计中内部各子模块的功能划分、流水线的组织及指令的周期行为等关键问题
    发表于 04-15 09:20 18次下载

    基于SystemC事务级的建模仿真研究

    事务级(Transaction-Level,TL)建模是SystemC 中提出的一种新型高层次建模方法,以CoCentric System Studio(CCSS)作为SystemC 仿真工具,以一个IP 路由系统为
    发表于 07-30 15:27 24次下载

    ESL设计的核心——事务建模介绍

    关于ESL的描述更多侧重于它在方法学上的抽象描述,实现ESL设计的核心是事务建模(TLM,Transaction Level Modeling)。 要实现
    的头像 发表于 11-02 14:38 515次阅读

    ESL事务建模语言简介

    任何系统级建模语言,都需要具备在较高层次的抽象能力和对不同来源的IP的集成能力。建模方法的选择通常基于语言熟悉程度、建模支持、模型可用性和简单性。 在各种软硬件描述语言中,Verilog和VHDL
    的头像 发表于 11-02 15:10 340次阅读