0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

AMBA-APB总线是怎样进行读写操作的?

ruikundianzi 来源:FPGA开源工作室 2023-09-07 09:55 次阅读

1 APB介绍

d4a48352-4ca1-11ee-a25d-92fbcf53809c.png

高级外设总线 (APB) 是高级微控制器总线架构 (AMBA) 协议系列的一部分。它定义了一个低成本接口,该接口针对最低功耗和降低的接口复杂性进行了优化。

APB 协议不是流水线的,使用它连接到不需要 AXI 协议高性能的低带宽外设。

APB 协议将信号转换与时钟的上升沿相关联,以简化将 APB 外设集成到任何设计流程中的过程。每次传输至少需要两个周期。

APB 可能相连的接口:

• AMBA 高级高性能总线 (AHB)

• AMBA 高级高性能总线精简版 (AHB-Lite)

• AMBA 高级可扩展接口 (AXI)

• AMBA 高级可扩展接口精简版 (AXI4-Lite) 可以使用它来访问外围设备的可编程控制寄存器。

APB 版本:

• AMBA 2 APB Specification

• AMBA 3 APB Protocol Specification v1.0

• AMBA APB Protocol Specification v2.0

2 信号描述

d4bdeb62-4ca1-11ee-a25d-92fbcf53809c.png

d4e7fb32-4ca1-11ee-a25d-92fbcf53809c.png

3操作状态机

d4f93aaa-4ca1-11ee-a25d-92fbcf53809c.png

IDLE:这是 APB 的默认状态。 SETUP:当需要传输时,总线进入 SETUP 状态,此时相应的选择信号 PSELx 被置位。

总线只在一个时钟周期内保持在 SETUP 状态,并且总是在时钟的下一个上升沿移动到 ACCESS 状态。

ACCESS:使能信号 PENABLE 在 ACCESS 状态下有效。

地址、写、选择和写数据信号在从 SETUP 到 ACCESS 状态的转换期间必须保持稳定。

退出 ACCESS 状态由从机的 PREADY 信号控制:

• 如果从机将 PREADY 保持为低电平,则外围总线保持在 ACCESS 状态。

• 如果 PREADY 被从器件驱动为高电平,则退出 ACCESS 状态,如果不需要更多传输,则总线返回 IDLE 状态。或者,如果另一个传输紧随其后,则总线直接移动到 SETUP 状态。

4 APB写操作

d508ec0c-4ca1-11ee-a25d-92fbcf53809c.png

时序分析: 在 T1,写传输开始地址 PADDR、写数据 PWDATA、写信号 PWRITE 和选择信号 PSEL 开始,在 PCLK 的上升沿寄存。这称为写传输的建立阶段。

在 T2,使能信号 PENABLE 和就绪信号 PREADY 在 PCLK 的上升沿寄存。

置位时,PENABLE 指示传输的访问阶段的开始。

置位时,PREADY 表示从机可以在下一个上升沿完成传输 PCLK 的边缘。

地址 PADDR、写数据 PWDATA 和控制信号都保持有效,直到传输在 T3 完成,即访问阶段结束。

使能信号 PENABLE 在传输结束时无效。

选择信号 PSEL 也被置为无效,除非在传输之后紧接着另一个传输到同一外设。

5 APB读操作

d51d5c5a-4ca1-11ee-a25d-92fbcf53809c.png

时序分析: 从图中可发现除了PWRITE信号是倒过来有效外,APB 读操作时序图和APB写操作时序图非常相似,在这里不再作详细的解释。 要特别注意的是,在 T3 后,也就是在进入ACESS周期后,APB Slave必须要将 Master 所要读取的数据准备好,以便 Master 可以在 ACESS 周期末被 T4 正时钟沿触发时正确的将数据读取。





审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 微控制器
    +关注

    关注

    48

    文章

    6809

    浏览量

    147637
  • 寄存器
    +关注

    关注

    30

    文章

    5028

    浏览量

    117731
  • 信号转换器
    +关注

    关注

    0

    文章

    74

    浏览量

    13726
  • 状态机
    +关注

    关注

    2

    文章

    486

    浏览量

    27168
  • AMBA APB总线
    +关注

    关注

    0

    文章

    3

    浏览量

    1058

原文标题:AMBA-APB总线介绍

文章出处:【微信号:IP与SoC设计,微信公众号:IP与SoC设计】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    什么是APB协议/总线APB总线入门

    上一篇文章简单讲解了什么是AMBA总线,简单来说,AMBA总线是一系列协议。定义了适用于不同场景的总线家族。今天我们就来将
    的头像 发表于 01-02 11:37 957次阅读
    什么是<b class='flag-5'>APB</b>协议/<b class='flag-5'>总线</b>?<b class='flag-5'>APB</b><b class='flag-5'>总线</b>入门

    ARM总线协议AMBA中AHB、APB的区别与联系

    , ASB, APB);Qchannel文章目录1 AMBA总线2 AHB2.1 一个典型的基于AHB的微控制器2.2 AHB总线互联结构:中心选择器连接master与slave2.3
    发表于 02-09 07:46

    AMBAAPB、AHB简介

    STM32菜鸟学习手册——1、AMBAAPB、AHB简介芯片上总线标准种类繁多,而由ARM公司推出的AMBA片上总线受到了广大IP开发商和
    发表于 02-17 07:18

    AMBA AHB总线APB总线资料合集

    使用的是 SystemVerilog 描述。2、AMBA APB总线信号接口介绍前面分析了AHB总线协议。接下来分析APB
    发表于 04-07 10:03

    AMBA中的APB总线协议详解

    1、漫谈AMBA总线-APB首先考虑一下以下的场景:概念1: 主机(Master)订单的发起只能由水果店发起,所以水果店在这条水果运输总线里面运输水果占有主动地位。类比:在
    发表于 06-07 16:14

    基于AMBA APB总线NandFlash控制器的设计

    介绍了基于AMBA APB总线NandFlash控制器的设计,首先简单介绍了NandFlash的一些特点,然后详细介绍了NandFlash控制器的整体框架、具体功能及其内部的数据通路。该控制器通过ModelSim
    发表于 11-03 15:22 54次下载

    APB总线的应用框图及接口信号

    APB(AdvancedPeripheralBus)是AMBA(AdvancedMicrocontrollerBusArcheticture)总线体系的一部分。相较于AMBA
    发表于 11-17 11:54 1.2w次阅读
    <b class='flag-5'>APB</b><b class='flag-5'>总线</b>的应用框图及接口信号

    基于AMBA总线介绍​

    定义了三种总线: AHB:Advanced High-performance Bus(先进高性能总线)高速高性能总线;支持2级流水操作(优势) AP
    的头像 发表于 05-19 14:22 1876次阅读
    基于<b class='flag-5'>AMBA</b><b class='flag-5'>总线</b>介绍​

    浅述AMBA-APB总线

    1 APB介绍 高级外设总线APB) 是高级微控制器总线架构 (AMBA) 协议系列的一部分。它定义了一个低成本接口,该接口针对最低功耗
    的头像 发表于 07-23 10:04 1949次阅读
    浅述<b class='flag-5'>AMBA-APB</b><b class='flag-5'>总线</b>

    介绍AMBA2.0总线

    定义了三种总线: AHB:Advanced High-performance Bus(先进高性能总线) 高速高性能总线;支持2级流水操作(优势) A
    的头像 发表于 09-06 09:53 2770次阅读
    介绍<b class='flag-5'>AMBA</b>2.0<b class='flag-5'>总线</b>

    聊聊AMBA总线-APB

    APB总线里面,数据的传输只能由主机发起,其他部分响应主机操作
    的头像 发表于 05-04 11:40 678次阅读
    聊聊<b class='flag-5'>AMBA</b><b class='flag-5'>总线</b>-<b class='flag-5'>APB</b>

    AMBA总线那些事之APB

    之前老李问过大家想看哪方面的知识,有不少同学提议老李写写总线,特别是AMBA总线,所以老李决定从这期开始开始一个AMBA总线介绍的系列文章
    的头像 发表于 05-04 14:53 1910次阅读
    <b class='flag-5'>AMBA</b><b class='flag-5'>总线</b>那些事之<b class='flag-5'>APB</b>

    AMBA总线apb简介

    APB(Advanced Peripheral Bus),外围总线的意思。该总线协议是ARM公司提出的AMBA总线结构之一,几乎已成为一种标
    发表于 06-05 15:10 1147次阅读
    <b class='flag-5'>AMBA</b><b class='flag-5'>总线</b>—<b class='flag-5'>apb</b>简介

    AMBA总线那些事之APB协议入门

    咱们首先来介绍AMBA(Advanced Microcontroller Bus Architecture)家族中最简单的协议APB -- Advanced Peripheral Bus。
    发表于 06-05 15:30 1292次阅读
    <b class='flag-5'>AMBA</b><b class='flag-5'>总线</b>那些事之<b class='flag-5'>APB</b>协议入门

    关于AMBA APB总线的知识点介绍

    AMBA APB总线可以用在低带宽和不需要高性能的外设上(即低速且低频率的外设);可以将APB视作AHB的二级总线;   ·低功耗(
    发表于 11-29 15:19 316次阅读
    关于<b class='flag-5'>AMBA</b> <b class='flag-5'>APB</b><b class='flag-5'>总线</b>的知识点介绍