0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

为什么signed_vector的-128结果中间有很多空格?

jf_GctfwYN7 来源:IC修真院优秀学员 2023-09-02 10:01 次阅读

练习一

有符号数据与无符号数据对比

代码:

module vector_transfer();
    logic[7:0]logic_vector=8'b1000_0000;
    bit   [7:0] bit_vector=8'b1000_0000;
    byte  signed_vector=8'b1000_0000;




    initial begin


    $display("logic_vector=%d",logic_vector);
    $display("bit_vector=%d",bit_vector);
    $display("signed_vector=%d",signed_vector);


    end
endmodule

仿真结果:

e2880842-48b2-11ee-97a6-92fbcf53809c.png

相信各位小伙伴,都能理解该仿真结果的产生,但是可能有的小伙伴会有疑问,为什么signed_vector的-128结果中间有很多空格?你真的仔细,先为你竖起大拇指,接下来我们就讲解一下为什么输出结果会是这样。

这里是解释

首先,在开始阶段,声明变量logic_vector为8位,bit_vector为8位,signed_vector没有明确给出具体位宽,默认32位。是不是看到区别了,别激动,接着往下看,8位二进制数转换位十进制数最大值为2^8-1=255,位宽为3,同样道理,32位二进制数转换位十进制数最大值为2^32-1=42_6496_7295,位宽为10,理解了这个接下来就是重点了哦!!!在使用到display时,我们经常需要考虑到显示数据的对齐方式,一般会在%和指定基数之间通过指定域宽来实现显示数据的对齐,格式一般为:%(域宽值)(进制)

域宽值:即为显示数据的个数;

进制:可以是二进制b,十进制d,八进制o,十六进制h;

%(进制)默认为最大位宽

理解了这些,我们接着分析上边的代码:

$display("logic_vector=%d",logic_vector) 显示的logic_vector默认为最大位宽3

$display("bit_vector=%d",bit_vector) 显示的logic_vector默认为最大位宽3

$display("signed_vector=%d",signed_vector) 显示的logic_vector默认为最大位宽10

所以显示结果 logic_vector=128;bit_vector=128;signed_vector= -128(中间空7个位宽);如果想实现signed_vector= -128,可以修改代码:$display("signed_vector=%0d", signed_vector);%0表示按照实际位宽进行解释。

e2a163e6-48b2-11ee-97a6-92fbcf53809c.png

练习二

有符号数据与无符号数据转换

代码:

module vector_transfer1();
    byte signed_vector=8'b1000_0000;
bit[8:0]result_vector;


    initial begin
        result_vector=signed_vector;
        $display("@1 result_vector='h%x",result_vector);
        result_vector=unsigned'(signed_vector);
        $display("@2 result_vector='h%x",result_vector);
        end
endmodule

仿真结果:

e2c483ee-48b2-11ee-97a6-92fbcf53809c.png

练习三

数据截取

代码:

module vector_transfer2();
    logic [3:0] x_vector=4'b111x;
    bit   [2:0] bit_vector;


    initial begin
    $fsdbDumpfile("vector_transfer2.fsdb");
    $fsdbDumpvars;
    end


    initial begin
    $display("@1 x_vector='b%b",x_vector);
    bit_vector=x_vector;
    $display("@2 bit_vector='b%b",bit_vector);
    end
endmodule

仿真结果:

e2e26ea4-48b2-11ee-97a6-92fbcf53809c.png

练习四

队列的基本用法

代码:

module queue();
    int j=1,
        q2[$]={3,4},
        q[$]={0,2,5};

    initial begin
    $fsdbDumpfile("queue.fsdb");
    $fsdbDumpvars;
    end


    initial begin
    q.insert(1,j);
    $display(q);
    q.insert(3,q2[0]);
    $display(q);
    q.insert(4,q2[1]);
    $display(q);
    q.delete(1);
    $display(q);
    q.push_front(6);
    $display(q);
    j=q.pop_back;
    $display(j);;
    q.push_back(8);
    $display(q);
    j=q.pop_front;
    $display(j);
    foreach(q[i])
    $display(q[i]);
    q.delete();
    end
endmodule

仿真结果:

e2f3a49e-48b2-11ee-97a6-92fbcf53809c.png






审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 二进制
    +关注

    关注

    2

    文章

    709

    浏览量

    41266
  • 仿真器
    +关注

    关注

    14

    文章

    988

    浏览量

    82998
  • 十进制
    +关注

    关注

    0

    文章

    62

    浏览量

    13029

原文标题:IC学霸笔记 | SV基本语法练习

文章出处:【微信号:IC修真院,微信公众号:IC修真院】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    c++之vector容器

    1.vector容器介绍       向量(Vector)是一个封装了动态大小数组的顺序容器(Sequence Container)。跟任意其它类型容器一样,它能够存放各种类型的对象。可以简单的认为
    的头像 发表于 07-13 19:36 609次阅读
    c++之<b class='flag-5'>vector</b>容器

    typedef volatile signed short什么意思

    typedef volatile signed short什么意思,求求
    发表于 07-18 11:58

    NIOS II—Reset Vector和Exception Vector的解释

    在NIOS II学习过程中设置CPU参数的时候,遇到Reset Vector和Exception Vector的设置。参数设置画面如下图所示。Reset Vector——复位向量Exception
    发表于 02-15 20:57

    字符串的列是由若干个空格隔开的,怎么读取成数组?

    正常情况电子表格字符串列之间是由一个tab或者一个空格隔开的,可是我需要读的这个文件列之间是由若干个空格隔开,读出来的结果是把很多空格当成零
    发表于 04-01 11:26

    vector用法总结(转载)

    (vector::size_type ix=0;ix!=ivec.size();++ix)ivec[ix]=0;和string类型的下标操作符一样,vector下标操作的结果为左值,因此可以像循环体中所
    发表于 05-01 15:22

    NIOS II—Reset Vector和Exception Vector的解释

    在NIOS II学习过程中设置CPU参数的时候,遇到Reset Vector和Exception Vector的设置。参数设置画面如下图所示。Reset Vector——复位向量Exception
    发表于 12-21 10:46

    资料下载:HDL中的unsigned与signed

    unsigned与signed:想必大家在C语言是经常用到,可不知HDL语言中的unsigned与signed是否常用罗!其含义与C语言中的意思无异,区别主要是取值范围。unsigned
    发表于 07-02 07:59

    探讨一下Vector架构在AI领域的应用前景

    ,Intel和它的X86系列的成功,却带来了另一个意想不到的结果。从超算Top 500的名单中我们看到,1993年多达310个都是类Vector的架构,提供了将近占总算力的43%。而短短4年之后,这个
    发表于 09-19 15:18

    Agilent Vector Signal Analysis

    This application note serves as a primer on the vector signal analyzer (VSA).This chapter discusses
    发表于 07-23 21:00 6次下载

    空格的ascii码,空格键的ascii码是多少

    空格的ascii码,空格键的ascii码是多少 回车换行  横向跳到下一制表位置  v 竖向跳格   退格 
    发表于 06-30 10:10 11.3w次阅读
    <b class='flag-5'>空格</b>的ascii码,<b class='flag-5'>空格</b>键的ascii码是多少

    Vector

    DSP之Vector教程,很好的DSP自学资料,快来学习吧。
    发表于 04-15 17:49 11次下载

    C++中vector的定义与初始化

    ,它的特征是相当于可拓展的数组(动态数组),随机访问快,在中间插入和删除慢,但在末端插入和删除快。 vector的定义与初始化 使用vector时,要将相应容器的头文件,如下图所示代码第2行。
    的头像 发表于 02-02 16:41 8521次阅读
    C++中<b class='flag-5'>vector</b>的定义与初始化

    python中如何用空格分隔

    在Python中,可以使用空格来分隔不同的元素,如变量、操作符、函数参数等。以下是一些常见的用法: 变量赋值:使用空格将变量名和赋值操作符等分开。 x = 10 name = "John Doe
    的头像 发表于 11-24 09:51 1608次阅读

    print输出如何不带空格

    在Python中,print函数默认会在各个参数之间添加空格进行分隔。如果你想要让print函数输出的内容不带空格,可以使用sep参数来自定义分隔符。 sep参数是print函数的一个可选参数,用于
    的头像 发表于 11-24 09:53 797次阅读

    c语言printf输出空格间隔

    C语言中,需要在printf输出中添加空格间隔可以通过多种方式实现。这个空格间隔可以用于对输出进行格式化使其更加易读、美观。在接下来的文章中,我将详细介绍如何使用空格来间隔输出以及如何控制输出的对齐
    的头像 发表于 11-24 09:56 3243次阅读