0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

EDA程序设计—出租车计费器

冬至子 来源:吃小竹子丫 作者:吃小竹子丫 2023-08-25 16:55 次阅读

1 系统设计

1.2设计要求

1.1.1 设计任务

设计并制作一台出租车计费器。

1.1.2 性能指标要求

① 用EDA实训仪的I/O设备和FPGA实现出租车计费器的设计。

② 出租车起步开始计程和计费,计程系统按实际公里数计程,计费系统首先显示起步价(如7.0),车行驶2km以内,只收起步价7元。

③ 出租车行驶超过2km后,按每公里1.6元在7.0元的基础上增加。

④ 出租车行驶超过10km后(或超过20元路费),每公里加收50%的车费,即车费变为每公里2.4元。

⑤ 出租车达到目的地后,(用一个按钮)计程和计费数据清零,为下一次计费开始。

1.2 设计思路及设计框图

1.2.1设计思路

计程和计费都是十进制位的输入,通过脉冲实现计数。计程通过分频器的输出作为输入,产生的秒脉冲以实现计数功能。计费在LED数码管上分为个位十位(例如起步价为7元,显示器上显示70,三公里时为86......),计程器和计费器在一个程序内完成,分频器用另一个程序完成,并将程序都生成一个元器件,用图形法级联在一起。上硬件仿真后,打开开关输入脉冲,通过上升沿触发使路程计算加一,并使总路计算模块加一,到达相应的公里数后没每公里收费也随之改变。

1.2.2总体设计框图

2.1 总体电路图

2.2 分频器模块

module fpq_(clk,KS,clk_1s);

input clk,KS;

output reg clk_1s;

reg[26:0] qs;

always @(posedge clk)

if(KS==1)

begin

if(qs==49999999) qs=0;

else qs=qs+1;

if(qs==24999999) clk_1s=0;

else clk_1s=1;

end

endmodule

分频器

2.3计程计费器模块

module CZCJFQ(clk,clrn,clk2,L1,L2,L3,L4,L5,L6);

input clk,clrn,clk2;

output L1,L2,L3,L4,L5,L6;

wire[15:0] Q;

reg[7:0] km;

reg[11:0] ZJ;

reg clk1;

reg [6:0] L1,L2,L3,L4,L5,L6;

initial clk1=1;

always @(posedge clk^clk2 or negedge clrn)

begin

if(~clrn) km[3:0]=0;

else begin

if(km[3:0]==9) km[3:0]=0;

else km[3:0]=km[3:0]+1;

if(km[3:0]==9) clk1=0;

else clk1=1;

end

end

always @(posedge clk1 or negedge clrn)

begin

if(~clrn) km[7:4]=0;

else begin

if( km[7:4]==9) km[7:4]=0;

else km[7:4]= km[7:4]+1;

end

else begin

if(ZJ[11:0]>9999) ZJ[11:0]=70;

else if(km[7:0]>'h9) ZJ[11:0]=ZJ[11:0]+24;

else if(km[7:0]>'h1) ZJ[11:0]=ZJ[11:0]+16;

else ZJ[11:0]=ZJ[11:0];

end

end

assign{Q[3:0]}={ZJ[11:0]}%10;

assign{Q[7:4]}={ZJ[11:0]}/10%10;

assign{Q[11:8]}={ZJ[11:0]}/100%10;

assign{Q[15:12]}={ZJ[11:0]}/1000;

路程计费器模块

2.4 组合逻辑模块(部分)

always@ (Q[0],Q[1],Q[2],Q[3])

begin

case ({Q[3],Q[2],Q[1],Q[0]})

4'B0000:L1=7'B1000000;

4'B0001:L1=7'B1111001;

4'B0010:L1=7'B0100100;

4'B0011:L1=7'B0110000;

3 调试过程

编程代码和编译过程经常出现问题,尤其是always@(*)语句上经常出错,以及在下载到硬件仿真的时候LED数码管没有反应,在向老师求助答疑后加入了些组合逻辑模块,修改过后的程序能正常编译,之后将程序下载到硬件中,打开开关检查路程计算能正常运行,路程计费计算功能正常,可以达到验收标准。

4 功能测试

4.1 测试仪器与设备

计算机一台

EDA实训仪

4.2 性能指标测试

打开开关后输入脉冲可产生路程计算,随着路程的递增费用也按要求递增,按下按键后数据可清零,也可以通过按下按键自行增加路程。

仿真波形图(部分模块)

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA设计
    +关注

    关注

    9

    文章

    425

    浏览量

    26273
  • led数码管
    +关注

    关注

    2

    文章

    65

    浏览量

    21773
  • 分频器
    +关注

    关注

    43

    文章

    433

    浏览量

    49313
  • EDA设计
    +关注

    关注

    1

    文章

    43

    浏览量

    13619
  • 计费器
    +关注

    关注

    0

    文章

    7

    浏览量

    7287
收藏 人收藏

    评论

    相关推荐

    一种出租车计费器设计方案

    介绍了采用EDA技术的层次化设计方法设计出租车计费器的方法。无需添加外围电路,更新功能仅需修改软件。
    发表于 12-07 16:53 3669次阅读

    基于FPGA的出租车计费器设计

    基于FPGA的出租车计费器设计,自己做的,仿真不是很好,但作为参考不错。。。
    发表于 06-02 13:55

    基于FPGA出租车计费器设计报告(verilog)

    基于FPGA出租车计费器设计报告(verilog)
    发表于 10-11 18:49

    求一个数电的出租车计费器的multisim源文件

    求一个数电的出租车计费器的multisim源文件,谢谢大家了,我急着用!在线等,拜托大神了!
    发表于 06-13 21:28

    书求一个基于multisim的出租车计费器的电路图!

    书求一个基于multisim的出租车计费器的电路图!
    发表于 12-29 16:58

    请教大神如何去设计出租车计费器系统?

    本文介绍了一种以Altera公司可编程逻辑器件EP1K30TC144-3为控制核心,附加一定外围电路组成的出租车计费器系统。
    发表于 04-30 06:06

    多功能出租车计费器的相关资料推荐

    单片机出租车计费器一、实验目的二、实验内容三、实验步骤四、C代码如下五、实验结果六、实验体会一、实验目的我国城市发展日新月异,交通工具也越来越多样化。我国出租汽车行业因而迅猛发展,出租
    发表于 11-25 06:20

    单片机出租车计费器实验步骤

    单片机出租车计费器一、实验目的二、实验内容三、实验步骤四、C代码如下五、实验结果六、实验体会一、实验目的二、实验内容三、实验步骤四、C代码如下五、实验结果六、实验体会...
    发表于 12-06 06:40

    基于CPLD/FPGA的出租车计费器

    摘要: 介绍了出租车计费器系统的组成及工作原理,简述了在EDA平台上用单片CPLD器件构成该数字系统的设计思想和实现过程。论述了车型调整模块、计程模块、计费模块
    发表于 06-20 15:24 1177次阅读
    基于CPLD/FPGA的<b class='flag-5'>出租车</b><b class='flag-5'>计费器</b>

    基于单片机的出租车计费器

    基于单片机的出租车计费器,用C来编程的。。
    发表于 01-14 17:10 16次下载

    单片机课程设计报告-简易出租车计费器

    简易出租车计费器
    发表于 04-13 14:29 45次下载

    一种基于EDA技术的出租车计费系统设计与实现

    本文主要介绍了一种基于EDA技术的出租车计费系统设计与实现,采用EDA技术的层次化设计方法设计出租车计费
    发表于 12-25 09:20 4436次阅读
    一种基于<b class='flag-5'>EDA</b>技术的<b class='flag-5'>出租车</b><b class='flag-5'>计费</b>系统设计与实现

    出租车计费器的PCB原理图免费下载

    本文档的主要内容详细介绍的是出租车计费器的PCB原理图免费下载。
    发表于 10-10 16:08 0次下载
    <b class='flag-5'>出租车</b><b class='flag-5'>计费器</b>的PCB原理图免费下载

    利用Verilog_HDL语言设计出租车计费器

    利用Verilog_HDL语言设计出租车计费器案例。
    发表于 04-09 16:22 62次下载

    EDA程序设计出租车计费器

    1.1 设计要求 1.1.1 设计任务 设计并制作一台出租车计费器。1.1.2 性能指标要求① 用EDA实训仪的I/O设备和FPGA实现出租车计费器
    发表于 08-22 10:19 4次下载