0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

掌握VGA显示的原理并实现VGA显示图像数据

冬至子 来源:创龙教仪-木江鹏 作者:创龙教仪-木江鹏 2023-08-16 14:57 次阅读

实验原理

底板原理图

控制VGA的管脚是VPIF总线,和控制LCD显示的管脚是复用的

image.png

VGA(Video Graphics Array)

VGA是视频图形阵列,是一种使用模拟信号进行视频传输的标准协议,由IBM公司于1987年推出,因其分辨率高、显示速度快、颜色丰富等优点,广泛应用于彩色显示器领域。

VGA接口是显示卡上输出模拟信号的接口,接口上面共有15个针孔,分成3排,每排5个,通过模拟VGA接口显示图像的工作原理,将计算机内部以数字方式生成的显示图像信息,通过显卡中的ADC转变为R、G、B三基色信号和行、场同步信号,通过电缆传输到显示设备中。

以RGB色彩模式作为色彩显示标准,根据三原色中的红色、绿色、蓝色所占比例多少以及三原色之间的相互叠加,得到各种各样的颜色。

引脚1、2、3是负责传输三原色的传输通道(传输模拟信号)

引脚13、14分别是行同步与场同步

image.png

image.png

VGA显示原理

VGA显示器采用图像扫描的方式进行图像显示,将构成图像的像素点,在行同步信号和场同步信号的同步下,按照从上到下、由左到右的顺序扫描到显示屏上。

显示器通过光栅扫描的方式,电子束在显示屏幕上有规律地从左到右、从上到下扫描。在扫描过程中,受行同步信号控制,逐点往右扫,完成一行扫描的时间倒数为行频;同时又在行同步脉冲期内回到屏幕的左端,从上往下形成一帧,在垂直方向上受场同步信号控制,完成一帧的时间倒数为场频。图像的显示过程即为在电子束扫描过程中,将地址与图像的像素依次对应,每一个被寻址的像素只获得其自身的控制信息,而与周围的像素不发生干扰,从而可以显示稳定的图像。

image.png

程序流程

程序流程设计中首先要进行外设使能配置,接着进行LCD管脚复用配置和LCD中断配置,然后进行LCD显示的初始化。最后将图像数据放到显存中并在LCD上显示图像。

image.png

管脚复用源码

管脚复用配置

LCD复用配置操作直接封装成了函数,使用时,直接包含“TL6748.h”文件 即可。

StarterWare API 接口

LCDPinMuxSetup();

image.png

LCD中断使用流程

image.png

中断使用的部分函数源码和函数使用说明可以查看interrupt.c。

image.png

时序配置源码

LCD初始化

使用StarterWare 的库来初始化LCD。

使用时,直接包含“raster.h”文件即可。

StarterWare API 接口

例如:RasterHparamConfig(SOC_LCDC_0_REGS, 800, 30, 210, 45);

LCD初始化的相关函数源码和函数使用说明可以查看raster.c。以水平时序配置函数为例,第一个参数是LCD模块的内存地址,第二个参数是确定每行所需像素数的值,第三个参数设置水平同步时钟的值,第四个参数设置前阶。第五个参数设置后阶。

image.png

GrImageDraw函数源码

图像数据放到显存

TI提供了Raster Controller光栅控制器的grLib图形函数库,图形库其实都是都是由底层的画点,画线等这些基本函数组成。TI图形库可以支持多种文件格式的输出到屏幕显示,还有画图像的函数。

StarterWare API 接口

GrImageDraw(&g_sContext,image,0,80);

把显示图像的像素数据放到显存中的函数源码和函数使用说明可以查看image.c,使用的是TI提供的图形函数库。第一个参数是指向绘图初始化设置的指针。 第二个参数是指向要绘制的图像的指针。第三个参数是图像左上角的 X 坐标。第四个参数是图像左上角的 Y 坐标。

image.png

image.c

图像数据转换

image.c图像数据文件是图片转化后生成的,在该image.c的文件头加入头文件申明#include”grlib.h”,即可直接使用。

图片要求:小于800*400的24位BMP格式。

转换工具路径

StarterWare安装路径中的"toolsbmp2c"目录下

bmp格式的数据是不能让LCD显示的,所以需要进行图片转化。在StarterWare的安装路径中提供了图片转化的工具,后续可以通过该工具协助更改显示图片。

image.png

图片转化步骤

1、先将BMP图像文件拷贝到bmp2c目录下

2、在Windows开始菜单底部输入cmd进入控制台窗口

3、进入bmp2c目录并执行图片转化命令,就会生成图形文件的字符数组C文件image.c

4、在image.c文件头加入头文件申明,并拷贝至LCD工程根目录下覆盖原有图像文件image.c

5、最后重新编译并运行程序即可。

执行命令

DOS# cd C:tiC6748_StarterWare_1_20_04_01toolsbmp2c

DOS# bmp2c -8 tronlong.bmp image.c

操作现象

实验设备

本实验使用的硬件接口为LCD和VGA,所需硬件为实验板、仿真器、LCD、VGA连接线、VGA显示屏和电源

硬件连接

(1)使用VGA线连接实验板的VGA接口和液晶屏显示屏的VGA口,液晶显示屏接入电源。

(2)连接仿真器和电脑USB接口,

(3)将拨码开关拨到DEBUG模式01111,连接实验箱电源,拨动电源开关上电。

软件操作

导入工程,选择Demo文件夹下的对应工程

编译工程,生成可执行文件

将CCS连接实验箱并加载程序

点击运行程序

VGA显示屏会显示图片,同时在LCD屏幕上会显示图片。

实验结束后,先点击黄色按钮暂停程序运行,再点击红色按钮退出CCS与实验箱的连接,最后实验箱断电即可。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 连接器
    +关注

    关注

    96

    文章

    12632

    浏览量

    133138
  • RGB
    RGB
    +关注

    关注

    4

    文章

    763

    浏览量

    57412
  • LCD显示
    +关注

    关注

    0

    文章

    122

    浏览量

    18188
  • VGA接口
    +关注

    关注

    1

    文章

    45

    浏览量

    16344
  • 光控制器
    +关注

    关注

    0

    文章

    7

    浏览量

    6731
收藏 人收藏

    评论

    相关推荐

    基于FPGA实现VGA的彩色图片显示

    VGA进行控制,实现任一彩色图像显示。通过采用FPGA设计VGA接口可以将要显示
    发表于 03-24 11:54 4134次阅读
    基于FPGA<b class='flag-5'>实现</b><b class='flag-5'>VGA</b>的彩色图片<b class='flag-5'>显示</b>

    VGA显示原理与VGA时序实现

    VGA显示原理与VGA时序实现
    发表于 08-15 16:57

    基于FPGA的Uart发送图像数据VGA显示

    串口发送一幅图像数据至FPGA,FPGA内部将图像数据存储,最后扫描到VGA屏幕上显示。从系统框
    发表于 08-28 11:28

    VGA图像显示原理

    VGA 显示控制器的实现过程以前,首先需要了解 VGA显示原理。 VGA
    发表于 12-13 11:53

    【FPGA DEMO】Lab 6:摄像头VGA显示(高速--VGA)

    `项目名称:摄像头VGA显示。 具体要求:摄像头采集的视频图像数据通过VGA实时显示。 摄像头
    发表于 07-30 15:34

    VGA彩条显示相关资料推荐

    时钟(vga_clk),作为图像数据生成模块(vga_pic)和 VGA 时序控制模块(vga_
    发表于 02-16 06:03

    嵌入式教程_DSP教学实验箱:2-9 VGA显示实验

    实验目的 本节视频的目的是了解VGA接口的功能和使用,掌握VGA显示的原理实现
    发表于 08-16 11:47

    基于FPGA的VGA接口显示技术

    可以在不使用VGA 显示卡和计算机的情况下,实现VGA 图像显示和控制。
    发表于 02-23 15:18 106次下载

    fpga_vga显示程序

    fpga vga 显示,介绍了如何实现vga的操作以及如何配置
    发表于 03-15 18:29 6次下载

    基于Zedboard FPGA的VGA图像信号采集系统的设计

    根据VGA(Video Graphic Array)的原理,采用VHDL硬件描述语言,设计了一种基于Zedboard FPGA板卡的图像显示方案。实验结果表明,在FPGA实现图片
    发表于 11-18 12:42 2135次阅读

    VGA显示与基于FPGA的VGA彩色图片显示设计

    FPGA 对 VGA 进行控制,实现 任一彩色图像显示。通过采用 FPGA 设计 VGA 接口可以将要
    发表于 11-30 14:57 61次下载
    <b class='flag-5'>VGA</b><b class='flag-5'>显示</b>与基于FPGA的<b class='flag-5'>VGA</b>彩色图片<b class='flag-5'>显示</b>设计

    vga图像显示_fpga控制vga显示图片

    本文为大家分享fpga控制vga显示图片的方法。
    发表于 01-15 15:56 7688次阅读

    FPGA入门系列实验教程之VGA彩色信号显示的详细资料说明

    本实验是用 FPGA 来实现 VGA 图像控制器,控制显示显示彩条信号,分别通过拨码开关选择显示
    发表于 06-25 17:40 11次下载

    FPGA VGA实现显示设计资料和程序说明

    1、VGA 简要介绍显示绘图阵列( video graphic array,VGA )接口是LCD 液晶显示设备的标准接口,大多应用在显示
    发表于 11-03 16:31 20次下载
    FPGA <b class='flag-5'>VGA</b><b class='flag-5'>实现</b><b class='flag-5'>显示</b>设计资料和程序说明

    VGA彩条显示

    时钟(vga_clk),作为图像数据生成模块(vga_pic)和 VGA 时序控制模块(vga_
    发表于 12-17 18:44 8次下载
    <b class='flag-5'>VGA</b>彩条<b class='flag-5'>显示</b>