0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

技术分享|使用TSMaster从零打造车辆控制器HIL实时仿真系列5-ECU HIL仿真的具体实施

上海同星智能科技有限公司 2022-06-06 11:50 次阅读

HIL实时仿真系列5

b062814e-e364-11ec-b80f-dac502259ad0.jpg

今天我们来到《TSMaster 从零打造车辆控制器HIL实时仿真系列》第五章节,继续介绍控制器实时HIL的实现。

HIL硬件在环

上一个章节介绍的ABS算法仿真和车辆模型的运行,它们的功能并不是简简单单地绘制曲线,搜集运行数据,而是有着更深层次的目的,那就是与外部世界联动,将输入和输出数据完完全全暴露在真实世界中,达到HIL的真正目的——硬件在环。

与外部世界交互这个过程可以说十分简单,只需要为此专门设计一个dbc文件,加载到TSMaster中,再将系统变量中的内容映射到总线上即可。

在此我准备了一个简单的dbc文件,它包含四个轮速,还有四个轮缸压力信号。我们将其拖入tsmaster中。

b0c4a5e0-e364-11ec-b80f-dac502259ad0.jpg

再打开RBS,让剩余总线仿真接管这些信号的发送

随后我们打开硬件通道选择器,将CAN通道选择为同星的一款总线工具——TC1005,作为演示,TC1005的通道1 和通道 2互相连接在了一起,确保发出的报文可以被正确应答。

b105dace-e364-11ec-b80f-dac502259ad0.jpg

我们启动RBS,打开Trace窗口,就可以看到我们需要的信号都在总线上以预定的频率发送着,当然这些信号由于没有更新,初始值都是0,下一步就是

做信号绑定,将系统变量中的值传给这些信号。

首先停止仿真,回到我们的算法小程序,添加预发送函数,接管轮速和压力报文的发送过程,这意味着每当报文即将被发出,系统都会调用这些预发送函数,我们只需要简单地在这些函数内更新我们所需的信号即可。

b14b4776-e364-11ec-b80f-dac502259ad0.jpg

我们试着赋一下值。最后我们在图形窗口中,添加需要观测的CAN信号,包括速度信号和压力信号等等。

b1d4c1d6-e364-11ec-b80f-dac502259ad0.jpg

至此,我们完成了所有所需的信号的预发送函数,是时候启动整个仿真过程了,还是同样的流程,连接TSMaster的应用程序,点击自动化模块的启动按钮。可以看到车辆正在运行过程中。

b222c340-e364-11ec-b80f-dac502259ad0.jpg

随着程序的运行,我们可以实时观测到总线上活跃的轮速和压力信号,这些信号就最终与真实世界发生了交互。

实现车辆控制器的HIL仿真

有了前面的基础,可以说此时我们真正踏入了HIL实时仿真的大门。使用这一整套流程,以不变应万变,实现各式各样的车辆控制器的HIL仿真。

举个简单的例子,倘若我们需要对所开发的ibooster进行 HIL仿真, ibooster是真实的ECU。我们就需要导入这个ibooster的所有网络的dbc文件,用我们的RBS将它所需的信号全部仿真出来。

b25d288c-e364-11ec-b80f-dac502259ad0.jpg

而要仿真信号,最简单的方法则是,在RBS仿真界面上,选中我们所需的ibooster节点,点击右键,一键自动生成HIL配置,这步操作可以自动激活 ibooster依赖的其他节点和报文。

其次,来到小程序中,通过预发送函数,构造此节点所需的各类总线信号。

b2b8d22c-e364-11ec-b80f-dac502259ad0.jpg

再接下来就是一些硬件的信号,比如遇到踏板信号,可以通过电缸自动化接口或是定制的 API实现。

b2ee61ee-e364-11ec-b80f-dac502259ad0.jpg

同时,如果遇到IO或通断信号,则通过我们的IO板卡和继电器板卡API实现;

如果是遇到轮速等特殊传感器信号,则通过我们的轮速模拟板卡API实现;

如果遇到电源电压的控制,可以使用可编程电源配合API 的方式实现;

最后,我们可以打开自动化模块,编写一系列用例,来对我们的产品进行各种可能的测试。

b326c322-e364-11ec-b80f-dac502259ad0.jpg

当然,这一切背后还有个重要的前提,那就是软件之外的一切准备工作,也就是ECU机械和液压负载必须提前准备完毕。

只要上述内容都就绪,那么便可以设计并最终执行无人值守的全自动化参数遍历和测试,在测试结束后,再通过预先配置的信息,实现测试报告的一键自动生成。

最后的实现内容,由于细节过于繁杂,实现方式各异,在此从略,我们有理由相信,基于TSMaster的这一整套仿真架构,小伙伴们也可以发挥自己的聪明才智,设计出各式各样的HIL实时仿真系统。

系列结语

至此,使用TSMaster从零打造车辆控制器HIL实时仿真系列就全部介绍完毕,在此仅仅是抛砖引玉,让我们共同将TSMaster的潜力发挥出来。(具体操作步骤详情可直接观看我们的B站视频哦~)

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3873

    浏览量

    132171
收藏 人收藏

    评论

    相关推荐

    【分享】基于Easygo仿真平台的三电机实时仿真测试应用

    算法效果的前期仿真评估及算法或控制器参数设计及仿真验证,可以很大程度上减少系统开发周期及成本。对多电机同步控制系统进行实时
    发表于 04-09 16:49

    多电机仿真篇丨双电机实时仿真测试应用

    国内虚拟研究平台多基于单电机设计,而实际工业中多电机配合工作更为常见,如机器人、3D打印机等。多电机同步控制在工业自动化生产系统中广泛存在,但目前基于FPGA纳秒级实时仿真平台多为单电机设计,进行多
    发表于 03-19 16:13

    快速控制原型RCP与硬件在环仿真HIL该如何区分呢?

    控制器软件开发的V流程中,有两个需要通过实时仿真完成的重要环节,即快速控制原型(RCP)与硬件在环仿真
    的头像 发表于 02-23 10:32 443次阅读
    快速<b class='flag-5'>控制</b>原型RCP与硬件在环<b class='flag-5'>仿真</b><b class='flag-5'>HIL</b>该如何区分呢?

    【分享】EasyGo 链式SVG系统的实时仿真应用

    实现电容均压以及相间平衡。 计算出调制波设定值后,采用 载波移相来生成多路脉冲。(本文主要介绍链式SVG的主电路仿真控制系统系统只采用通用简单的控制策略,不作过多研究)。 为了考虑模型实时
    发表于 02-21 17:31

    中国HiL迎来产业化元年

    随着新能源汽车产业的迅猛发展,硬件在环(HiL仿真测试技术正迎来前所未有的市场机遇。上海蔚赫信息科技有限公司(以下简称“蔚赫信息”)最新交付的Vehinfo LABCAR解决方案,是其收购博世
    的头像 发表于 01-23 11:13 390次阅读

    详解快速控制原型RCP与硬件在环仿真HIL

    控制器软件开发的V流程中,有两个需要通过实时仿真完成的重要环节,即快速控制原型(RCP)与硬件在环仿真(
    的头像 发表于 01-19 13:41 368次阅读
    详解快速<b class='flag-5'>控制</b>原型RCP与硬件在环<b class='flag-5'>仿真</b><b class='flag-5'>HIL</b>

    如何理解实时仿真

    实时仿真?硬件在环?RCP?HIL...这些词是否还有些傻傻分不清呢?本期将带大家从零走近实时仿真,快来Get吧。
    的头像 发表于 01-16 10:34 427次阅读

    2023年中国半实物仿真模拟(HiL)行业洞察报告

    ,其中尤以汽车行业HiL应用市场规模占比最高,预期可达到123.8亿元 出品:适道研究院   半实物仿真(Hardware-in-Loop Simulation,简称HiL)是一种结合实物硬件和
    的头像 发表于 01-03 22:22 255次阅读
    2023年中国半实物<b class='flag-5'>仿真</b>模拟(<b class='flag-5'>HiL</b>)行业洞察报告

    Enclustra FPGA核心板在硬件在环(HiL)仿真测试系统中的应用

    与Enclustra公司合作,MicroNova公司在为汽车环境和其他市场的电子控制单元(ECU)开发最先进的硬件在环(HiL)测试系统方面一直处于领先地位。除了高质量、高可靠性和高性能之外
    的头像 发表于 12-20 08:09 475次阅读
    Enclustra FPGA核心板在硬件在环(<b class='flag-5'>HiL</b>)<b class='flag-5'>仿真</b>测试系统中的应用

    如何通过HIL测试系统做ADAS验证

    本文分享的是一个案例,借助NI开放式、数据驱动型、软件互连的生态系统以及PXI功能,采埃孚能够开发可扩展的ADAS HIL系统,在满足未来需求的同时与测试项目的步伐保持一致。 目前,采埃孚的ADAS HIL测试系统原型已复制至HIL
    的头像 发表于 11-27 09:52 307次阅读
    如何通过<b class='flag-5'>HIL</b>测试系统做ADAS验证

    聊聊车辆仿真软件之DYNA4——Overview

    作随着汽车行业如火如荼的发展,各种车辆仿真软件也不断获得工程师的诸多关注。虚拟车辆仿真,无论是在开发前期还是HIL阶段都有着举足轻重的作用。
    的头像 发表于 10-19 08:25 384次阅读
    聊聊<b class='flag-5'>车辆</b><b class='flag-5'>仿真</b>软件之DYNA4——Overview

    Easygo实时仿真:FPGA实时仿真器、硬件在环((HIL)测试设备及快速控制原型(RCP)系统开发

    。产品应用工业上:主要面向新能源行业相关的企业、科研院所和国网电力研究院。如做风电、光伏逆变器控制器的企业,硬件在环实时仿真平台对于研究新的控制方法、缩短研发周期、确保产品的质量都有重
    发表于 08-16 17:29

    EasyGo 实时仿真丨大功率电机控制器硬件在环系统仿真测试

    了含软件的控制板),下载模型;接着设置控制板工作参数和步骤;最后进行稳态运行。 实验期间,港迪电气项目负责人多次对 EasyGo 平台技术支持负责人反馈道:“你们仿真平台用起来
    发表于 07-28 11:39

    激光雷达的基本仿真流程 激光雷达工作基本原理

    在智驾HiL应用阶段,激光点云数据在实现L2+或L3级功能测试的过程中尤为重要,在获取到激光雷达仿真的点云数据后,可使用CANoe进行智驾域控制器的闭环验证。
    发表于 07-14 09:24 418次阅读
    激光雷达的基本<b class='flag-5'>仿真</b>流程 激光雷达工作基本原理

    Easygo 实时仿真丨微网孤岛运行下储能控制策略仿真应用

    功能,缩短开发周期,数字云储选用了Easygo 仿真平台进行硬件在环(HIL仿真测试的试用。对此,将基于 easygo netbox 实时仿真器
    发表于 06-08 14:57