0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

使用VCS观察Verilog二维数组仿真值的方法

冬至子 来源:Bug记录 作者:woodfan 2023-06-06 11:12 次阅读

FIR设计过程中,不可避免使用到reg/wire的二维数组;在仿真的过程中,这些二维数组却带来不少麻烦,因为根据之前的内容,我们根本看不到这些二维数组的值。

而且,Verilog端口定义是不支持二维数组这样的定义,所以也无法把数组传到顶层观察。

而实现过程中,这些二维数组却是流水线操作中的一部分,如果不知道它们的值,找BUG的过程肯定会十分艰难。

好在搜集各方资料后,经过一番实践,总算是得出了如何观察这些二维数组的值的方法。

首先,在testbench里面修改一下:

原来的版本:

initial begin  $fsdbDumpfile("tb.fsdb");  $fsdbDumpvars;end

第一个语句是产生一个fsdb文件,文件名为tb.fsdb,第二句是保存所有变量的波形。这里是为了产生fsdb文件,这样Verdi才能看到根据fsdb文件显示波形

修改后的版本:

initial begin  $fsdbDumpfile("tb.fsdb");  $fsdbDumpvars;  $fsdbDumpMDA();end

第三行加了$fsdbDumpMDA(),这样就可以Dump所有的Memeory值,不管什么信号都可以保存到Memory。

VCS编译命令使用参数如下:

vcs  \\*.v -LDFLAGS -Wl,--no-as-needed -timescale=1ns/1ps \\-fsdb  -full64  -R  +vc  +v2k -sverilog  -debug_all

仿真结束后,想使用Verdi查看二维数组的值,启动Verdi。

命令行如下:

verdi  -nologo \\+v2k  -sverilog  *.v -ssf tb.fsdb  &

可以在Verdi添加信号时看到二维数组,如下图:

图片

Verdi查看可以看到二维数组

添加之后:

图片

可以从图中看到,很不直观,不能直接看出有什么问题。

换个思路,按照下图操作,选择Memory里的Memory/MDA工具

图片

按照序号操作

按照图中1,2的顺序,然后按照下图选择想查看的信号

图片

点击后选择想观察的信号

图片

显示信号结果

这里需要说明,查看二维数组内部存储的值,可以使用框1里面的键,左右可以控制仿真时间,信号的值会跟随仿真时间改变而改变。框1的时间跨度可以更改。

默认显示的信号都是十六进制,如果想改变进制显示,在2的位置右键可以改变进制显示,并选择有符号或无符号显示。

地址数据也是十六进制显示,可以在3的位置右键改变为十进制显示。

有了这些工具的帮助,便可以从出错的结果一步步往上查,追查BUG的效率提高不少。

总结,操作顺序:

  1. Testbench加入$fsdbDumpMDA();
  2. 使用Verdi的Memory/MDA工具查看
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FIR
    FIR
    +关注

    关注

    4

    文章

    137

    浏览量

    32724
  • Verilog
    +关注

    关注

    28

    文章

    1326

    浏览量

    109302
  • VCS
    VCS
    +关注

    关注

    0

    文章

    78

    浏览量

    9495
  • MDA
    MDA
    +关注

    关注

    0

    文章

    13

    浏览量

    12160
收藏 人收藏

    评论

    相关推荐

    数组写入二维数组

    labview如何将一数组写入二维数组某列或某行
    发表于 12-27 17:04

    labviEW中二维数组排序问题

    问题是这样的,我不断采集过来的两种参数,都是成对出现的,我把它们组成了一个二维数组,现在就想以其中的一个参数按大小排序,另一个参数不排序但是它们原来是一对的还是一对。比如说(x,y)二维数组
    发表于 03-31 13:48

    labview二维数组问题

    二维数组数组每个元素又包含3个元素,将两数组比较找出一个和另一个相同或接近的元素,用labview求程序或者算法。另外一个二维
    发表于 05-04 17:25

    二维数组极值位置索引的求法

    用重排数组数把二维数组变为一数组,然后排序,找到最大值。同时又提供了直接用
    发表于 10-08 19:23

    二维数组各列循环更新程序

    程序实现了把生成的一数组更新二维数组各列功能。基本实现了LabVIEW二维数组插入的功能要求。
    发表于 10-13 13:20

    LABView怎样把二维数组替换到已知二维数组里边

    书中学到说为了优化内存,最好不要用创建数组函数,所以准备先建立一个数组,再用替换函数更新,现在有一个n行9列的二维数组,想把一个2行9列的数组
    发表于 05-09 14:43

    对一数组二维数组的删重处理

    本帖最后由 zzc_px 于 2015-9-25 09:55 编辑 附件为对二维数组和一数组的删重处理,求大神指点更加效率的方案。
    发表于 09-24 11:26

    labview二维数组提取几行组成新数组

    想问一下大家,labview里一个二维数组通过对其中一列的元素进行阈值判断,然后将满足条件的一行提取出来,组成新的数组,用数组创建函数不是可以将两个一
    发表于 02-16 17:47

    二维数组怎么转化为簇

    二维数组怎么转化为簇
    发表于 05-04 17:04

    C语言二维数组的定义和引用

    今天用二维数组时不知道怎么用了,网上查了下,摘到这里来了。一数组只有一个下标,称为一数组,其
    发表于 07-12 08:55

    VHDL中的二维数组怎么实现

    亲爱的用户,我想在VHDL中实现二维数组(内存),并希望通过各种组件访问(读取)它,其中一个组件(用于读取和写入)都在verilog中。任何人都可以建议我声明一个模块及其与各种组件的链接。有害生物
    发表于 01-23 08:25

    怎么在verilog中初始化二维数组

    我想创建一个二维数组并在定义时初始化它。例如:reg [15:0] xyz_array [9:0];
    发表于 03-11 13:38

    请问Verilog怎么实现二维数组输出?

    各位大哥,求教一下,Verilog如何实现二维数组输出。看过网上的例程,一般都是拆成一数组输出的,但是如果
    发表于 05-23 22:23

    二维数组冒泡排序

    Labview中对于一数组可以直接排序,但如果是二维数组时,特别是字符串类型二维数组,某一列其
    发表于 09-30 16:06

    二维数组

    如图,如何得到二维数组滚动条的位置, 持续写入数据时,想让滚动条显示在最新数据的位置
    发表于 01-11 17:14