0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA设计:4位计数器代码及仿真程序

fpga加油站 来源:fpga加油站 2023-05-24 09:22 次阅读

大家好!今天给大家分享的是4位计数器的代码以及仿真程序。

4位计数器代码:

module count4(out,reset,clk);
output [3:0] out;
input reset,clk;
reg [3:0] out;
always @( posedge clk)
begin
if (reset) out<=0; // 同步复位
else out<=out+1; // 计数
end
endmodule

4位计数器的仿真程序:

`timescale 1ns/1ns
`include " count4.v "
module coun4_tp;
reg clk,reset; // 测试输入信号定义为 reg 型
wire [3:0] out; // 测试输出信号定义为 wire 型
parameter DELY=100;
count4 mycount(out,reset,clk); // 调用测试对象
always #(DELY/2) clk = ~clk; // 产生时钟波形
initial
begin // 激励信号定义
clk =0; reset=0;
#DELY reset=1;
#DELY reset=0;
#(DELY*20) $finish;
end
// 定义结果显示格式
initial $monitor($time,,,"clk=%d reset=%d out=%d", clk, reset,out);
endmodule

modelsim仿真结果:

3ca80480-f976-11ed-90ce-dac502259ad0.png

3ccbf3f4-f976-11ed-90ce-dac502259ad0.png

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593194
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132160
  • 计数器
    +关注

    关注

    32

    文章

    2121

    浏览量

    92976
  • 程序
    +关注

    关注

    114

    文章

    3631

    浏览量

    79541
  • 代码
    +关注

    关注

    30

    文章

    4555

    浏览量

    66767

原文标题:4位计数器代码及仿真程序

文章出处:【微信号:fpga加油站,微信公众号:fpga加油站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    16计数器仿真没结果,求助?

    想写个16计数器仿真没结果,是不是哪里逻辑错了 [code]module counter_16(input clk,input clr ,output reg[15:0] outda
    发表于 02-27 15:36

    基于verilog hdl的19计数器仿真问题

    一个19计数器仿真问题module counter(inclk,rst_n,en,out);input inclk,rst_n,en;output[18:0] out;reg[18:0] out
    发表于 04-15 15:00

    以太网控制计数器模块实现代码

    计数器模块提供数据发送过程中需要的所有计数器:DlyCrcCnt 用来在 CRC 校验序列产生过程中计数;按照 4 传输时采用 NibCn
    发表于 01-03 09:10

    怎么实现两个32计数器计数速率高达3GHz

    两个32计数器,但我希望这些计数器计数速率高达3GHz。看起来低端FPGA(即Spartan 6)的最大频率为200-300 MHz。因
    发表于 04-19 13:34

    关于FPGA仿真软件中计数器提前计数的问题

    FPGA的人来说,非常之实用。但是,部分工程师在做仿真时,有时候会出现违反这一原则的奇怪现象。例如下面这个案例。关于在仿真软件中,为什么计数器的判定会在不满足加一条件的时候进行加一操作
    发表于 04-24 14:54

    用于4计数器的SDK C程序怎么写

    嗨好朋友,我正在研究4计数器我已经创建了IP以及框图设计。现在停止使用SDK c程序启动硬件。这是我在HLS中的反击#include #include“ap_int.h”#defin
    发表于 05-19 12:18

    用51单片机汇编语言编程实现8加减计数器

    51 单片机汇编语言:8加减计数器用51 单片机汇编语言编程,实现8加减计数器,按下面的按钮选择加计数或者减
    发表于 11-10 07:52

    调用ip核生成一个4计数器

    我们调用ip核生成一个4计数器后想用该计数器模块生成一个8计数器,这里就需要级联两个
    发表于 11-11 07:41

    基于FPGA的PWM计数器改进设计

    简单改变FPGA计数器规格使作为DAC功能PWM计数器的纹波降低。
    发表于 04-06 11:11 1867次阅读
    基于<b class='flag-5'>FPGA</b>的PWM<b class='flag-5'>计数器</b>改进设计

    基于Proteus的任意进制计数器设计与仿真

    提出一种基于Proteus 软件的任意进制计数器的设计。以74LS163 集成计数器为基础,用置数法设计了两种48 进制计数器,采用Proteus 软件对计数器进行
    发表于 07-29 18:53 0次下载

    生产线计数器控制应用程序代码下载

    生产线计数器控制应用程序代码下载
    发表于 04-01 16:43 27次下载
    生产线<b class='flag-5'>计数器</b>控制应用<b class='flag-5'>程序</b>源<b class='flag-5'>代码</b>下载

    基于单片机定时器/计数器的时钟设计及计数设计

    /计数器编程方法第四部分 定时器/计数器仿真设计设计案例一原理图动态仿真结果代码设计案例二原理图动态仿真
    发表于 11-05 09:06 51次下载
    基于单片机定时器/<b class='flag-5'>计数器</b>的时钟设计及<b class='flag-5'>计数</b>设计

    multisim仿真四位计数器

    multisim仿真四位计数器资料分享
    发表于 07-23 09:57 5次下载

    基于FPGA的十进制计数器

    本方案是一个基于 FPGA  的十进制计数器。共阳极 7 段显示器上的 0 到 9 十进制计数器,硬件在 Xilinx Spartan 6 FPGA 板上实现。
    发表于 12-20 14:52 2次下载

    计数器程序中有什么作用

    PLC程序除了梯形图之外,还有FBD功能块作为指令,这种指令一般都有背景DB。   PLC计数器指令可使其对内部程序事件和外部过程事件进行计数。这样就可以节约外部
    的头像 发表于 07-04 15:57 1005次阅读
    <b class='flag-5'>计数器</b>在<b class='flag-5'>程序</b>中有什么作用