0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于多片FPGA多路复用(TDM)科普

jf_5P3RKFtu 来源:于博士Jacky 2023-05-23 09:40 次阅读

当将SoC的代码分割到多片FPGA的任务完成,并且所有FPGA的资源利用都很平衡,在建议的范围50%到70%左右。此外,每个FPGA中被分配到的RTL设计的IO最小化,也就是说分割边界的IO数量是最小的,但在如此好的工作之后,仍然有可能没有足够的FPGA引脚可用于连接所有设计IO,或者更准确地说,一些FPGA之间没有足够的板载trace迹线。那这个时候的解决方案是在所用的FPGA之间复用设计信号。多路复用意味着多个兼容的设计信号通过相同的板载trace迹线被组装和串行化,然后在接收FPGA处被解复用。可以简单理解为根据时钟先按照并行转换串行的方式,将多路信号通过单路传输到另一接收的FPGA端,然后在接收的那一端转换成并行信号与此FPGA中的设计相连接,形成完整的SoC系统功能。

FPGA之间的两个多路复用信号需要许多组件,包括多路复用器(mux)、解复用器(dmux)、时钟源和保持所有这些相互同步的方法。

bfe0c062-f8f4-11ed-90ce-dac502259ad0.png

如果我们可以自由更改RTL,那么理论上,可以在每个FPGA边界手动添加这些元素。我们需要在分区之后添加复用元素,或者从一开始就将元素添加到RTL中,因此预先假设分区边界的位置。在这两种情况下,SoC团队的其他成员可能会认为这与最初的SoC的RTL相距太远,并引入了太多错误机会。

大多数团队不会考虑对SoC RTL进行如此广泛的更改,而是依赖于自动化的方式来添加复用,通过脚本化的直接编辑合成后网表,或者根据分区过程给出的方向在合成过程中进行推断。

无论采用何种方法引入多路复用,该方案的基本要求是在一个设计时钟内将IO数据值从一个FPGA传输到另一个FPGA。为了实现这一点,串行传输时钟(也称为多路复用时钟或快速时钟)必须比设计时钟更快地对这些数据值进行采样,以确保在下一个活动设计时钟边缘之前接收FPGA中的所有数据都可用。

例如,假设我们有四个IO数据值要在两个FPGA之间传输,这两个FPGA在单个板上连接上复用,即复用率为4:1。如果设计的这一部分以20MHz运行,那么,为了在设计时钟周期内传输四个设计IO,我们需要比设计时钟快至少四倍的传输时钟。因此,传输时钟必须至少为80MHz。实际上,对于4:1的多路复用,它需要快四倍以上,因为我们需要确保在数据到达传输时钟,然后在设计时钟上锁存到下游逻辑之间满足设置和保持时间。

在使用多路复用的大多数情况下,它会降低设计的总体速度,并且通常是整个系统速度的控制因素。串行传输速度受到通过FPGA IO的最大速度和通过板载轨迹的互连线的传输时间的限制。因此,由于这些物理限制,需要优化复用方案,以允许原型以最大速度运行。

多路复用通常由插入多路复用器和多路复用器元件并用合适的信号填充分区工具支持。例如,有两种不同类型的方案,采用LVDS传输或高速时域复用(HSTDM)。

根据传输时钟和设计时钟的关系,我们可以区分两种类型的复用。异步复用,其中传输时钟与设计时钟没有相位关系,以及同步复用,其中,传输时钟相位与设计时钟对齐,甚至可能从中导出。

审核编辑:彭静
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593201
  • 时钟
    +关注

    关注

    10

    文章

    1479

    浏览量

    130306
  • 复用器
    +关注

    关注

    1

    文章

    639

    浏览量

    27977

原文标题:多片FPGA原型系统:多路复用(TDM)科普

文章出处:【微信号:于博士Jacky,微信公众号:于博士Jacky】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    一文掌握多片FPGA多路复用

    多片FPGA之间的互连,经常提到多路复用的概念,也经常提到TDM的概念,正确理解多路复用在多片FPGA原型验证系统中的机理,尤其是时序机制,
    发表于 06-06 10:04 786次阅读
    一文掌握多片<b class='flag-5'>FPGA</b>的<b class='flag-5'>多路复用</b>

    [6.4.1]--多路复用

    多路复用数字逻辑
    李开鸿
    发布于 :2022年11月13日 01:18:45

    多路复用ICSP引脚如何控制开关?

    您好,我有一个应用程序,我将使用PIC32MX575F512L。现在,我将有一个有限数量的电线,我可以取出框,将主机的电子。因此,我需要多路复用两条线:通常它们会产生一个串行端口(ttl),但是我
    发表于 04-01 08:19

    电路和FPGA之间如何设计一个带有ADC的接口以及多路复用

    嗨,大家好,我有一个ML507 FPGA和模拟信号(+/- 5V,100mA),数量约为40。我需要在电路和FPGA之间设计一个带有ADC的接口以及多路复用(对于多路复用不够紧张,因为
    发表于 06-15 06:59

    时钟信号切换可以用多路复用开关吗

    FPGA设计,外部时钟有两个,切换时可以用多路复用开关实现吗
    发表于 06-27 22:17

    如何在Mx1051的FlexCAN1中配置简单信号多路复用和扩展信号多路复用

    我们正在研究 FlexCAN1 的 mxrt1051。我们是第一次在 FlexCAN 上工作,请协助以下几点: - 如何在 Mx1051 的 FlexCAN1 中配置简单信号多路复用和扩展信号
    发表于 05-05 11:05

    多路复用与数字复接

    多路复用与数字复接8.1 频分多路复用(FDM)原理8.2 时分多路复用TDM)原理8.3 准同步数字体系(PDH) 8.4 同步数字体系(SDH)  
    发表于 10-22 13:26 0次下载

    多路复用技术

    2.3  多路复用技术2.3.1  频分多路复用2.3.2  时分多路复用2.3.3  波分多路复用2.3.4  码分
    发表于 06-27 21:46 0次下载

    基于CPLD的非多路复用多路复用总线转换桥的设计与实现

    基于CPLD的非多路复用多路复用总线转换桥的设计与实现 微处理器对外并行总线接口方式一般分为两种,一种为多路复用方式,数据与地址采用共用引脚,分时传输;另一
    发表于 03-28 15:08 761次阅读
    基于CPLD的非<b class='flag-5'>多路复用</b>与<b class='flag-5'>多路复用</b>总线转换桥的设计与实现

    多路复用多路复用总线转换桥的设计与实现

    多路复用多路复用总线转换桥的设计与实现 提出了一种新颖的非多路复用总线与多路复用总线的转换接口电路。以两种总线的典型代表芯片TMS
    发表于 03-28 15:14 819次阅读
    非<b class='flag-5'>多路复用</b>与<b class='flag-5'>多路复用</b>总线转换桥的设计与实现

    复用器的多路复用

    复用器的多路复用  多路复用
    发表于 01-07 14:27 1128次阅读

    频分多路复用(FDM),频分多路复用(FDM)是什么意思

    频分多路复用(FDM),频分多路复用(FDM)是什么意思 “复用”是一种将若干个彼此独立的信号,合并为一个可在同一信道上同时传输的复合信号的方法。
    发表于 03-19 14:00 7252次阅读

    时分多路复用(TDM),时分多路复用(TDM)的原理是什么?

    时分多路复用(TDM),时分多路复用(TDM)的原理是什么?  为了提高信道利用率,使多个信号沿同一信道传输而互相不干扰,称
    发表于 03-19 14:07 9372次阅读

    时分多路复用TDM),时分多路复用TDM)是什么意思

    时分多路复用TDM),时分多路复用TDM)是什么意思 这种方法是把传输信道按时间来分割,为每个用户指定一个时间间隔,每个间隔里传输信号
    发表于 04-03 15:28 5476次阅读

    掌握多片FPGA多路复用

    多片FPGA之间的互连,经常提到多路复用的概念,也经常提到TDM的概念
    发表于 06-06 10:07 233次阅读
    掌握多片<b class='flag-5'>FPGA</b>的<b class='flag-5'>多路复用</b>